Design Methodologies Digital Integrated Circuits Design Methodologies Prentice

  • Slides: 58
Download presentation
Design Methodologies Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design Methodologies Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

The Design Problem Source: sematech 97 A growing gap between design complexity and design

The Design Problem Source: sematech 97 A growing gap between design complexity and design productivity Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design Methodology • Design process traverses iteratively between three abstractions: behavior, structure, and geometry

Design Methodology • Design process traverses iteratively between three abstractions: behavior, structure, and geometry • More and more automation for each of these steps Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design Analysis and Verification l l l Accounts for largest fraction of design time

Design Analysis and Verification l l l Accounts for largest fraction of design time More efficient when done at higher levels of abstraction - selection of correct analysis level can account for multiple orders of magnitude in verification time Two major approaches: » Simulation » Verification Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Digital Data treated as Analog Signal Circuit Simulation Both Time and Data treated as

Digital Data treated as Analog Signal Circuit Simulation Both Time and Data treated as Analog Quantities Also complicated by presence of non-linear elements (relaxed in timing simulation) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Representing Data as Discrete Entity Discretizing the data using switching threshold The linear switch

Representing Data as Discrete Entity Discretizing the data using switching threshold The linear switch model of the inverter Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Switch Circuit versus Switch-Level Simulation Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Switch Circuit versus Switch-Level Simulation Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Structural Description of Accumulator Design defined as composition of register and full-adder cells (“netlist”)

Structural Description of Accumulator Design defined as composition of register and full-adder cells (“netlist”) Data represented as {0, 1, Z} Time discretized and progresses with unit steps Description language: VHDL Other options: schematics, Verilog Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Behavioral Description of Accumulator Design described as set of input-output relations, regardless of chosen

Behavioral Description of Accumulator Design described as set of input-output relations, regardless of chosen implementation Data described at higher abstraction level (“integer”) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Behavioral simulation of accumulator Discrete time Integer data (Synopsys Waves display tool) Digital Integrated

Behavioral simulation of accumulator Discrete time Integer data (Synopsys Waves display tool) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Timing Verification Critical path Enumerates and rank orders critical timing paths No simulation needed!

Timing Verification Critical path Enumerates and rank orders critical timing paths No simulation needed! (Synopsys-Epic Pathmill) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Issues in Timing Verification False Timing Paths Digital Integrated Circuits Design Methodologies © Prentice

Issues in Timing Verification False Timing Paths Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Implementation Methodologies Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Implementation Methodologies Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Custom Design – Layout Editor Magic Layout Editor (UC Berkeley) Digital Integrated Circuits Design

Custom Design – Layout Editor Magic Layout Editor (UC Berkeley) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Symbolic Layout • Dimensionless layout entities • Only topology is important • Final layout

Symbolic Layout • Dimensionless layout entities • Only topology is important • Final layout generated by “compaction” program Stick diagram of inverter Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Cell-based Design (or standard cells) Routing channel requirements are reduced by presence of more

Cell-based Design (or standard cells) Routing channel requirements are reduced by presence of more interconnect layers Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Standard Cell — Example [Brodersen 92] Digital Integrated Circuits Design Methodologies © Prentice Hall

Standard Cell — Example [Brodersen 92] Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Standard Cell - Example 3 -input NAND cell (from Mississippi State Library) characterized for

Standard Cell - Example 3 -input NAND cell (from Mississippi State Library) characterized for fanout of 4 and for three different technologies Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Automatic Cell Generation Random-logic layout generated by CLEO cell compiler (Digital) Digital Integrated Circuits

Automatic Cell Generation Random-logic layout generated by CLEO cell compiler (Digital) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Module Generators — Compiled Datapath Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Module Generators — Compiled Datapath Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Macrocell Design Methodology Macrocell Interconnect Bus Floorplan: Defines overall topology of design, relative placement

Macrocell Design Methodology Macrocell Interconnect Bus Floorplan: Defines overall topology of design, relative placement of modules, and global routes of busses, supplies, and clocks Digital Integrated Circuits Routing Channel Design Methodologies © Prentice Hall 1995

Macrocell-Based Design Example SRAM Routing Channel SRAM Data paths Standard cells Video-encoder chip [Brodersen

Macrocell-Based Design Example SRAM Routing Channel SRAM Data paths Standard cells Video-encoder chip [Brodersen 92] Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Gate Array — Sea-of-gates Uncommited Cell Committed Cell (4 -input NOR) Digital Integrated Circuits

Gate Array — Sea-of-gates Uncommited Cell Committed Cell (4 -input NOR) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Sea-of-gate Primitive Cells Using oxide-isolation Digital Integrated Circuits Using gate-isolation Design Methodologies © Prentice

Sea-of-gate Primitive Cells Using oxide-isolation Digital Integrated Circuits Using gate-isolation Design Methodologies © Prentice Hall 1995

Sea-of-gates Random Logic Memory Subsystem LSI Logic LEA 300 K (0. 6 mm CMOS)

Sea-of-gates Random Logic Memory Subsystem LSI Logic LEA 300 K (0. 6 mm CMOS) Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Prewired Arrays Categories of prewired arrays (or fieldprogrammable devices): l Fuse-based (program-once) l Non-volatile

Prewired Arrays Categories of prewired arrays (or fieldprogrammable devices): l Fuse-based (program-once) l Non-volatile EPROM based l RAM based Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Programmable Logic Devices PLA Digital Integrated Circuits PROM Design Methodologies PAL © Prentice Hall

Programmable Logic Devices PLA Digital Integrated Circuits PROM Design Methodologies PAL © Prentice Hall 1995

EPLD Block Diagram Macrocell Primary inputs Courtesy Altera Corp. Digital Integrated Circuits Design Methodologies

EPLD Block Diagram Macrocell Primary inputs Courtesy Altera Corp. Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Field-Programmable Gate Arrays Fuse-based Standard-cell like floorplan Digital Integrated Circuits Design Methodologies © Prentice

Field-Programmable Gate Arrays Fuse-based Standard-cell like floorplan Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Interconnect Programming interconnect using anti-fuses Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Interconnect Programming interconnect using anti-fuses Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Field-Programmable Gate Arrays RAM-based Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Field-Programmable Gate Arrays RAM-based Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

RAM-based FPGA Basic Cell (CLB) Courtesy of Xilinx Digital Integrated Circuits Design Methodologies ©

RAM-based FPGA Basic Cell (CLB) Courtesy of Xilinx Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

RAM-based FPGA Xilinx XC 4025 Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

RAM-based FPGA Xilinx XC 4025 Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Taxonomy of Synthesis Tasks Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Taxonomy of Synthesis Tasks Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design for Test Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design for Test Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Validation and Test of Manufactured Circuits Goals of Design-for-Test (DFT) Make testing of manufactured

Validation and Test of Manufactured Circuits Goals of Design-for-Test (DFT) Make testing of manufactured part swift and comprehensive DFT Mantra Provide controllability and observability Components of DFT strategy • Provide circuitry to enable test • Provide test patterns that guarantee reasonable coverage Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Test Classification l Diagnostic test » used in chip/board debugging » defect localization l

Test Classification l Diagnostic test » used in chip/board debugging » defect localization l “go/no go” or production test » Used in chip production l Parametric test » x e [v, i] versus x e [0, 1] » check parameters such as NM, Vt, tp, T Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Design for Testability Exhaustive test is impossible or unpractical Digital Integrated Circuits Design Methodologies

Design for Testability Exhaustive test is impossible or unpractical Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Problem: Controllability/Observability l Combinational Circuits: controllable and observable - relatively easy to determine test

Problem: Controllability/Observability l Combinational Circuits: controllable and observable - relatively easy to determine test patterns l Sequential Circuits: State! Turn into combinational circuits or use self-test l Memory: requires complex patterns Use self-test Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Test Approaches Ad-hoc testing l Scan-based Test l Self-Test Problem is getting harder l

Test Approaches Ad-hoc testing l Scan-based Test l Self-Test Problem is getting harder l » increasing complexity and heterogeneous combination of modules in system-on-a-chip. » Advanced packaging and assembly techniques extend problem to the board level Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Generating and Validating Test-Vectors l Automatic test-pattern generation (ATPG) » for given fault, determine

Generating and Validating Test-Vectors l Automatic test-pattern generation (ATPG) » for given fault, determine excitation vector (called test vector) that will propagate error to primary (observable) output » majority of available tools: combinational networks only » sequential ATPG available from academic research l Fault simulation » determines test coverage of proposed test-vector set » simulates correct network in parallel with faulty networks l Both require adequate models of faults in CMOS integrated circuits Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Fault Models Most Popular - “Stuck - at” model Covers almost all (other) occurring

Fault Models Most Popular - “Stuck - at” model Covers almost all (other) occurring faults, such as opens and shorts. a, g : x 1 sa 1 b : x 1 sa 0 or x 2 sa 0 g : Z sa 1 Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Problem with stuck-at model: CMOS open fault Sequential effect Needs two vectors to ensure

Problem with stuck-at model: CMOS open fault Sequential effect Needs two vectors to ensure detection! Other options: use stuck-open or stuck-short models This requires fault-simulation and analysis at the switch or transistor level - Very expensive! Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Problem with stuck-at model: CMOS short fault Causes short circuit between Vdd and GND

Problem with stuck-at model: CMOS short fault Causes short circuit between Vdd and GND for A=C=0, B=1 Possible approach: Supply Current Measurement (IDDQ) but: not applicable for gigascale integration Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Path Sensitization Goals: Determine input pattern that makes a fault controllable (triggers the fault,

Path Sensitization Goals: Determine input pattern that makes a fault controllable (triggers the fault, and makes its impact visible at the output nodes) Fault enabling 1 1 Fault propagation 0 sa 0 1 1 0 Techniques Used: D-algorithm, Podem Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Ad-hoc Test Inserting multiplexer improves testability Digital Integrated Circuits Design Methodologies © Prentice Hall

Ad-hoc Test Inserting multiplexer improves testability Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-based Test Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-based Test Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Polarity-Hold SRL (Shift-Register Latch) Introduced at IBM and set as company policy Digital Integrated

Polarity-Hold SRL (Shift-Register Latch) Introduced at IBM and set as company policy Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-Path Register Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-Path Register Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-based Test —Operation Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-based Test —Operation Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Scan-Path Testing Partial-Scan be more effective for pipelined datapaths Digital Integrated Circuits Design Methodologies

Scan-Path Testing Partial-Scan be more effective for pipelined datapaths Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Boundary Scan (JTAG) Board testing becomes as problematic as chip testing Digital Integrated Circuits

Boundary Scan (JTAG) Board testing becomes as problematic as chip testing Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Self-test Rapidly becoming more important with increasing chip-complexity and larger modules Digital Integrated Circuits

Self-test Rapidly becoming more important with increasing chip-complexity and larger modules Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Linear-Feedback Shift Register (LFSR) Pseudo-Random Pattern Generator Digital Integrated Circuits Design Methodologies © Prentice

Linear-Feedback Shift Register (LFSR) Pseudo-Random Pattern Generator Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Signature Analysis Counts transitions on single-bit stream Compression in time Digital Integrated Circuits Design

Signature Analysis Counts transitions on single-bit stream Compression in time Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

BILBO Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

BILBO Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

BILBO Application Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

BILBO Application Digital Integrated Circuits Design Methodologies © Prentice Hall 1995

Memory Self-Test Patterns: Writing/Reading 0 s, 1 s, Walking 0 s, 1 s Galloping

Memory Self-Test Patterns: Writing/Reading 0 s, 1 s, Walking 0 s, 1 s Galloping 0 s, 1 s Digital Integrated Circuits Design Methodologies © Prentice Hall 1995