ECE 448 Lecture 6 Finite State Machines State

  • Slides: 60
Download presentation
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM)

ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts George Mason University

Required reading • P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM 2

Required reading • P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM 2

Recommended reading • S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL

Recommended reading • S. Brown and Z. Vranesic, Fundamentals of Digital Logic with VHDL Design Chapter 8, Synchronous Sequential Circuits Sections 8. 1 -8. 5 Section 8. 10, Algorithmic State Machine (ASM) Charts 3

Datapath vs. Controller 4

Datapath vs. Controller 4

Structure of a Typical Digital System Data Inputs Datapath (Execution Unit) Control & Status

Structure of a Typical Digital System Data Inputs Datapath (Execution Unit) Control & Status Inputs Control Signals Controller (Control Unit) Status Signals Data Outputs Control & Status Outputs 5

Datapath (Execution Unit) • Manipulates and processes data • Performs arithmetic and logic operations,

Datapath (Execution Unit) • Manipulates and processes data • Performs arithmetic and logic operations, shifting/rotating, and other data-processing tasks • Is composed of registers, multiplexers, adders, decoders, comparators, ALUs, gates, etc. • Provides all necessary resources and interconnects among them to perform specified task • Interprets control signals from the Controller and generates status signals for the Controller 6

Controller (Control Unit) • Controls data movement in the Datapath by switching multiplexers and

Controller (Control Unit) • Controls data movement in the Datapath by switching multiplexers and enabling or disabling resources Example: enable signals for registers Example: select signals for muxes • Provides signals to activate various processing tasks in the Datapath • Determines the sequence of operations performed by the Datapath • Follows Some ‘Program’ or Schedule 7

Finite State Machines • Controllers can be described as Finite State Machines (FSMs) •

Finite State Machines • Controllers can be described as Finite State Machines (FSMs) • Finite State Machines can be represented using • State Diagrams and State Tables - suitable for simple controllers with a relatively few inputs and outputs • Algorithmic State Machine (ASM) Charts suitable for complex controllers with a large number of inputs and outputs • All of these descriptions can be easily translated to the corresponding synthesizable VHDL code 8

Hardware Design with RTL VHDL Pseudocode Datapath Interface Controller Block diagram VHDL code ASM

Hardware Design with RTL VHDL Pseudocode Datapath Interface Controller Block diagram VHDL code ASM chart VHDL code 9

Steps of the Design Process 1. 2. 3. 4. 5. 6. 7. Text description

Steps of the Design Process 1. 2. 3. 4. 5. 6. 7. Text description Interface Pseudocode Block diagram of the Datapath Interface divided into the Datapath and Controller State diagram or ASM chart of the Controller RTL VHDL code of the Datapath, Controller, and Top. Level Unit 8. Testbench for the Datapath, Controller, and Top-Level Unit 9. Functional simulation and debugging 10. Synthesis and post-synthesis simulation 11. Implementation and timing simulation 12. Experimental testing using FPGA board 10

Steps of the Design Process Introduced in Class Today 1. 2. 3. 4. 5.

Steps of the Design Process Introduced in Class Today 1. 2. 3. 4. 5. 6. 7. Text description Interface Pseudocode Block diagram of the Datapath Interface divided into the Datapath and Controller State diagram or ASM chart of the Controller RTL VHDL code of the Datapath, Controller, and Toplevel Unit 8. Testbench for the Datapath, Controller, and Top-Level Unit 9. Functional simulation and debugging 10. Synthesis and post-synthesis simulation 11. Implementation and timing simulation 12. Experimental testing using FPGA board 11

Finite State Machines Refresher 12

Finite State Machines Refresher 12

Finite State Machines (FSMs) • An FSM is used to model a system that

Finite State Machines (FSMs) • An FSM is used to model a system that transits among a finite number of internal states. The transitions depend on the current state and external input. • The main application of an FSM is to act as the controller of a medium to large digital system • Design of FSMs involves • Defining states • Defining next state and output functions • Optimization / minimization • Manual optimization/minimization is practical for small FSMs only 13

Moore FSM • output is a function of the state only next-state logic input

Moore FSM • output is a function of the state only next-state logic input state_next clk reset state register state_reg output logic output 14

Mealy FSM • output is a function of the state and input signals next-state

Mealy FSM • output is a function of the state and input signals next-state logic input state_next clk reset state register state_reg output logic output 15

State Diagrams 16

State Diagrams 16

Moore Machine transition condition 1 state 1 / output 1 transition condition 2 state

Moore Machine transition condition 1 state 1 / output 1 transition condition 2 state 2 / output 2 17

Mealy Machine transition condition 1 / output 1 state 2 state 1 transition condition

Mealy Machine transition condition 1 / output 1 state 2 state 1 transition condition 2 / output 2 18

Moore FSM - Example 1 • Moore FSM that Recognizes Sequence “ 10” 0

Moore FSM - Example 1 • Moore FSM that Recognizes Sequence “ 10” 0 1 S 0 / 0 1 reset Meaning of states: S 0: No elements of the sequence observed 0 S 1 / 0 0 S 1: “ 1” observed 1 S 2 / 1 S 2: “ 10” observed 19

Mealy FSM - Example 1 • Mealy FSM that Recognizes Sequence “ 10” 0/0

Mealy FSM - Example 1 • Mealy FSM that Recognizes Sequence “ 10” 0/0 1/0 S 0 reset Meaning of states: 1/0 S 1 0/1 S 0: No elements of the sequence observed S 1: “ 1” observed 20

Algorithmic State Machine (ASM) Charts 21

Algorithmic State Machine (ASM) Charts 21

Algorithmic State Machine – representation of a Finite State Machine suitable for FSMs with

Algorithmic State Machine – representation of a Finite State Machine suitable for FSMs with a larger number of inputs and outputs compared to FSMs expressed using state diagrams and state tables. 22

ASM Chart – Flowchart-like diagram – Provides the same info as a state diagram

ASM Chart – Flowchart-like diagram – Provides the same info as a state diagram – More descriptive, better for complex description 23

ASM describing generalized FSM • Algorithmic state machines can model both Mealy and Moore

ASM describing generalized FSM • Algorithmic state machines can model both Mealy and Moore Finite State Machines • They can also model generalized machines that are of the mixed type 24

Elements used in ASM charts (1) State name Output signals or actions (Moore type)

Elements used in ASM charts (1) State name Output signals or actions (Moore type) 0 (False) (a) State box Condition expression 1 (True) (b) Decision box Conditional outputs or actions (Mealy type) (c) Conditional output box 25

State Box • State box – represents a state. • Equivalent to a node

State Box • State box – represents a state. • Equivalent to a node in a state diagram or a row in a state table. • Contains register transfer actions or output signals • Moore-type outputs are listed inside of the box. • It is customary to write only the name of the signal that has to be asserted in the given state, e. g. , z instead of z<=1. • Also, it might be useful to write an action to be taken, e. g. , count <= count + 1, and only later translate it to asserting a control signal that causes a given action to take place (e. g. , enable signal of a counter). State name Output signals or actions (Moore type) 26

Decision Box • Decision box – indicates that a given condition is to be

Decision Box • Decision box – indicates that a given condition is to be tested and the exit path is to be chosen accordingly. The condition expression may include one or more inputs to the FSM. 0 (False) Condition expression 1 (True) 27

Conditional Output Box • Conditional output box • Denotes output signals that are of

Conditional Output Box • Conditional output box • Denotes output signals that are of the Mealy type. • The condition that determines whether such outputs are generated is specified in the decision box. Conditional outputs or actions (Mealy type) 28

Simple Example: Datapath 0 m eni en ld ldi clk m i == k-1

Simple Example: Datapath 0 m eni en ld ldi clk m i == k-1 zi 29

Simple Example: Interface 30

Simple Example: Interface 30

Simple Example: ASM Chart 31

Simple Example: ASM Chart 31

ASM Block • One state box • One or more (optional) decision boxes: with

ASM Block • One state box • One or more (optional) decision boxes: with T (1) or F (0) exit paths • One or more (optional) conditional output boxes: for Mealy outputs 32

ASM Chart Rules • Difference between a regular flowchart and an ASM chart: –

ASM Chart Rules • Difference between a regular flowchart and an ASM chart: – Transition governed by clock – Transition occurs between ASM blocks • Basic rules: – For a given input combination, there is one unique exit path from the current ASM block – Any closed loop in an ASM chart must include a state box Based on RTL Hardware Design by P. Chu 33

Incorrect ASM Charts Based on RTL Hardware Design by P. Chu 34

Incorrect ASM Charts Based on RTL Hardware Design by P. Chu 34

Correct ASM Chart 35

Correct ASM Chart 35

State Diagram of Moore FSM • Moore FSM that Recognizes Sequence “ 10” 0

State Diagram of Moore FSM • Moore FSM that Recognizes Sequence “ 10” 0 1 S 0 / 0 1 reset Meaning of states: S 0: No elements of the sequence observed 0 S 1 / 0 0 S 1: “ 1” observed 1 S 2 / 1 S 2: “ 10” observed 36

ASM Chart of Moore FSM reset S 0 0 S 1 1 S 2

ASM Chart of Moore FSM reset S 0 0 S 1 1 S 2 input 1 input 0 output 1 input 0 37

State Diagram of Mealy FSM • Mealy FSM that Recognizes Sequence “ 10” 0/0

State Diagram of Mealy FSM • Mealy FSM that Recognizes Sequence “ 10” 0/0 1/0 S 0 reset Meaning of states: 1/0 S 1 0/1 S 0: No elements of the sequence observed S 1: “ 1” observed 38

ASM Chart of Mealy Machine reset S 0 0 input S 1 1 1

ASM Chart of Mealy Machine reset S 0 0 input S 1 1 1 input output 0 39

Moore & Mealy FSMs without delays clock 0 1 0 0 0 input state

Moore & Mealy FSMs without delays clock 0 1 0 0 0 input state S 0 Moore output S 0 S 1 S 2 S 0 state S 0 S 1 S 0 S 0 Mealy output 40

Moore & Mealy FSMs with delays clock 0 1 0 0 0 input state

Moore & Mealy FSMs with delays clock 0 1 0 0 0 input state S 0 Moore output S 0 S 1 S 2 S 0 state S 0 S 1 S 0 S 0 Mealy output 41

Moore vs. Mealy FSM (1) • Moore and Mealy FSMs Can Be Functionally Equivalent

Moore vs. Mealy FSM (1) • Moore and Mealy FSMs Can Be Functionally Equivalent • Equivalent Mealy FSM can be derived from Moore FSM and vice versa • Mealy FSM Has Richer Description and Usually Requires Smaller Number of States • Smaller circuit area 42

Moore vs. Mealy FSM (2) • Mealy FSM Computes Outputs as soon as Inputs

Moore vs. Mealy FSM (2) • Mealy FSM Computes Outputs as soon as Inputs Change • Mealy FSM responds one clock cycle sooner than equivalent Moore FSM • Moore FSM Has No Combinational Path Between Inputs and Outputs • Moore FSM is less likely to affect the critical path of the entire circuit 43

Moore FSM • output is a function of the state only next-state logic input

Moore FSM • output is a function of the state only next-state logic input state_next clk reset state register state_reg output logic output 44

Mealy FSM • output is a function of the state and input signals next-state

Mealy FSM • output is a function of the state and input signals next-state logic input state_next clk reset state register state_reg output logic output 45

Which Way to Go? Mealy FSM Moore FSM Fewer states Lower Area Safer. Less

Which Way to Go? Mealy FSM Moore FSM Fewer states Lower Area Safer. Less likely to affect the critical path. Responds one clock cycle earlier 46

ASMs representing simple FSMs • Algorithmic state machines can model both Mealy and Moore

ASMs representing simple FSMs • Algorithmic state machines can model both Mealy and Moore Finite State Machines • They can also model machines that are of the mixed type 47

Generalized FSM Next State Present State Based on RTL Hardware Design by P. Chu

Generalized FSM Next State Present State Based on RTL Hardware Design by P. Chu 48

Finite State Machines in VHDL 49

Finite State Machines in VHDL 49

Recommended FSM Coding Style Process(Present State, Input) Next State Present State Process(clk, reset) Based

Recommended FSM Coding Style Process(Present State, Input) Next State Present State Process(clk, reset) Based on RTL Hardware Design by P. Chu 50

ASM Chart of Moore Machine reset S 0 0 S 1 1 S 2

ASM Chart of Moore Machine reset S 0 0 S 1 1 S 2 input 1 input 0 output 1 input 0 51

Moore FSM in VHDL (1) LIBRARY ieee; USE ieee. std_logic_1164. all; ENTITY FSM_Moore IS

Moore FSM in VHDL (1) LIBRARY ieee; USE ieee. std_logic_1164. all; ENTITY FSM_Moore IS PORT ( clk reset input output END FSM_Moore ; : IN : OUT STD_LOGIC ; STD_LOGIC) ; 52

Moore FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Moore IS TYPE state IS (S

Moore FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Moore IS TYPE state IS (S 0, S 1, S 2); SIGNAL Present_State, Next_State: state; BEGIN U_Moore: PROCESS (clk, reset) BEGIN IF(reset = '1') THEN Present_State <= S 0; ELSIF rising_edge(clk) THEN Present_State <= Next_State; END IF; END PROCESS; 53

Moore FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output

Moore FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output <= '0'; CASE Present_State IS WHEN S 0 => IF input = '1' THEN Next_State <= S 1; ELSE Next_State <= S 0; END IF; 54

Moore FSM in VHDL (3) WHEN S 1 => IF input = '0' THEN

Moore FSM in VHDL (3) WHEN S 1 => IF input = '0' THEN Next_State <= S 2; ELSE Next_State <= S 1; END IF; WHEN S 2 => output <= '1' ; IF input = '1' THEN Next_State <= S 1; ELSE Next_State <= S 0; END IF; END CASE; END PROCESS; END behavioral; 55

ASM Chart of Mealy Machine reset S 0 0 input S 1 1 1

ASM Chart of Mealy Machine reset S 0 0 input S 1 1 1 input output 0 56

Mealy FSM in VHDL (1) LIBRARY ieee; USE ieee. std_logic_1164. all; ENTITY FSM_Mealy IS

Mealy FSM in VHDL (1) LIBRARY ieee; USE ieee. std_logic_1164. all; ENTITY FSM_Mealy IS PORT ( clk : IN reset : IN input output END FSM_Mealy ; STD_LOGIC ; : IN STD_LOGIC ; : OUT STD_LOGIC) ; 57

Mealy FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Mealy IS TYPE state IS (S

Mealy FSM in VHDL (1) ARCHITECTURE behavioral of FSM_Mealy IS TYPE state IS (S 0, S 1); SIGNAL Present_State, Next_State: state; BEGIN U_Mealy: PROCESS(clk, reset) BEGIN IF(reset = '1') THEN Present_State <= S 0; ELSIF rising_edge(clk) THEN Present_State <= Next_State; END IF; END PROCESS; 58

Mealy FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output

Mealy FSM in VHDL (2) Next_State_Output: PROCESS (Present_State, input) BEGIN Next_State <= Present_State; output <= '0'; CASE Present_State IS WHEN S 0 => IF input = '1' THEN Next_State <= S 1; ELSE Next_State <= S 0; END IF; 59

Mealy FSM in VHDL (3) WHEN S 1 => IF input = '0' THEN

Mealy FSM in VHDL (3) WHEN S 1 => IF input = '0' THEN Next_State <= S 0; Output <= '1' ; ELSE Next_State <= S 1; END IF; END CASE; END PROCESS; END behavioral; 60