PFC Use Reduction in the Semiconductor Industry Prof

  • Slides: 21
Download presentation
PFC Use & Reduction in the Semiconductor Industry Prof. Karen K. Gleason, Department of

PFC Use & Reduction in the Semiconductor Industry Prof. Karen K. Gleason, Department of Chemical Engineering, MIT Source materials contributed by : Mr. Simon Karecki & Prof. Rafael Reif Department of Electrical Engineering & Computer Science, MIT Dr. Michael T. Mocella Dupont Fluoroproducts © 1999 Massachusetts Institute of Technology. All rights reserved NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 1

Outline l PFC usage l PFC reduction strategies NSF/SRC Engineering Research Center for Environmentally

Outline l PFC usage l PFC reduction strategies NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 2

Perfluorocompound (PFC) Nomenclature l C 2 F 6 – – l Perfluoroethane Hexafluoroethane Freon-116

Perfluorocompound (PFC) Nomenclature l C 2 F 6 – – l Perfluoroethane Hexafluoroethane Freon-116 Dupont Zyron@-116 CF 4 – Perfluoromethane – Freon-14 l CHF 3 – – – not truly a PFC (a HFC-hydrofluorocompound) “PFC-like”: long atmospheric lifetime & high GWP Fluoroform Trifluoromethane HFC-23 Freon-23 NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 3

PFCs - Generally Unreactive => STABLE l Pros – low toxicity, – low explosive

PFCs - Generally Unreactive => STABLE l Pros – low toxicity, – low explosive hazard l Cons – long lifetimes in the atmosphere (high GWP) – unaffected by current water scrubbers – difficult to abate by subsequent chemical reactions NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 4

U. S. Semiconductor Industry PFC Purchase (1993) NSF/SRC Engineering Research Center for Environmentally Benign

U. S. Semiconductor Industry PFC Purchase (1993) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 5

PFCs Emitted by Other Industries l Aluminum production – Single largest source (30, 000

PFCs Emitted by Other Industries l Aluminum production – Single largest source (30, 000 metric tons world-wide) – CF 4 & C 2 F 6 emitted when aluminum smelting is disrupted (“anode effect”) l Electrical power distribution – Leakage of SF 6 gas electrical insulation from circuit breakers, substations, and transmission lines NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 6

Increase of PFC use in Semiconductor Industry l l l Increased number of wafers

Increase of PFC use in Semiconductor Industry l l l Increased number of wafers per year Increased wafer size (more material to etch per step) Larger number of processing steps per wafer Shift from wet to dry (gas-phase) processing New applications (e. g. in-situ diffusion furnace cleaning) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 7

Commitment to PFC Reductions l l l PFCs have a very high global warming

Commitment to PFC Reductions l l l PFCs have a very high global warming impact. For all practical purpose, the effects of PFCs are permanent. The semiconductor industry (through the SIA) has agreed to a Memorandum of Understanding (MOU) with the EPA, which, though voluntary, commits the signatories to attempt to reduce and, if possible, eliminate their PFC emissions. Semiconductor industry accounts for a small percentage of total greenhouse gas emission. However, IC industry usage is growing while emissions by other industries are decreasing. Also, many small sources contribute to the whole. All industries must contribute to reduction strategies. PFC are specifically produced for the semiconductor industry, while in other industries they are often an undesired by-product. Whether or not the contribution of PFC emissions to any actual or perceived global warming effect is significant, the semiconductor industry is committed to reduce and, if possible, eliminate PFC emissions. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 8

PFCs in Dielectric Film Processes l l Dielectric film processes account for the majority

PFCs in Dielectric Film Processes l l Dielectric film processes account for the majority of PFC use. Dielectric film processes presently rely exclusively on PFCs. PECVD chamber cleaning presently uses more PFCs than wafer patterning (etching) and is the faster growing application, but wafer patterning usage is still significant. Chemistry for patterning & chamber cleaning may require the same initial qualification work. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 9

Specific Applications for PFCs l Silicon Dioxide Etching – mostly CF 4/CHF 3 mixes

Specific Applications for PFCs l Silicon Dioxide Etching – mostly CF 4/CHF 3 mixes – also SF 6 and NF 3 l Silicon Nitride Etching – SF 6, NF 3, CF 4 and CHF 3 all used l Chamber Cleaning of CVD oxide & nitrides – mostly C 2 F 6 – new NF 3 processes – also SF 6 and CF 4 NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 10

Distribution of PFC Use l 1993 SEMITECH Report – Etch- 36% – Chamber Clean

Distribution of PFC Use l 1993 SEMITECH Report – Etch- 36% – Chamber Clean - 64 % l l l “low hanging fruit” less stringent requirements for replacement Largest fraction used by chamber cleaning – long processes times – high flow rates l l Volumes used for chamber cleaning are growing Other etch processes use is smaller (polysilicon, refractory metal like W) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 11

C 2 F 6 Usage by IC Industry l l Typical fab uses 50,

C 2 F 6 Usage by IC Industry l l Typical fab uses 50, 000 lbs per year Typical conversion efficiency is 30 to 40% 95% of C 2 F 6 is used in chamber cleans Typical flow rates (sccm) – chamber cleaning 1000 -2000 – etching 20 -100 from R. G. Ridgeway et. al, Air Products in “Perfluorocompound (PFC) Technical Update (7/13/95) SEMICON/WEST 95 NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 12

% Conversion Efficiency l l % of inlet gas passing through process unreacted &

% Conversion Efficiency l l % of inlet gas passing through process unreacted & emitted in the exhaust Typical values – – l 80 -90% for NF 3 10 -15% for CF 4 30 -40% for C 2 F 6 50 -60% for C 3 F 8 Roughly two-thirds of the most commonly used PFC in the semiconductor industry, C 2 F 6 , is vented to the atmosphere. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 13

Strategies for Reducing PFC Emissions · · “Decrease the use and reduce the emissions

Strategies for Reducing PFC Emissions · · “Decrease the use and reduce the emissions of potential global warming semiconductor processing materials; specifically the perfluorocompounds (PFCs), such as CF 4, C 2 F 6, NF 3, and SF 6. ” National Technology Roadmap for Semiconductors (SIA): non-PFCs to be available by 2007. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 14

Ranking of Emission Control Strategies l l Cost of ownership (COO)(difficult to access) Commercial

Ranking of Emission Control Strategies l l Cost of ownership (COO)(difficult to access) Commercial availability “Greenness” Destructive/nondestructive Replacement Recovery “greenness” Plasma commercial availability Chemical-Thermal Combustion NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 15

Abatement (Destruction/Decomposition) l Destruction of compounds in the effluent – combustion – plasma –

Abatement (Destruction/Decomposition) l Destruction of compounds in the effluent – combustion – plasma – chemical conversion l l l Short-term solution Potential high cost of ownership (COO) Caution: by-products of abatement may themselves be undesirable (NOx, CF 4, HF, COF, HF) or have effects which are yet to be known. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 16

Combustion-Based Abatement l l l l High degree of PFC decomposition commercially demonstrated Several

Combustion-Based Abatement l l l l High degree of PFC decomposition commercially demonstrated Several manufacturers (Centrotherm, DAS, Delatech, Eco. Sys, Edwards/Alzeta, Toyo, Sanso. . . ) Currently used by fabs to destroy other toxic and/or reactive effluents (silane or TEOS units for CVD can be switched over to operate for PFC abatement during chamber clean) By-products, such as HF and NOx , require disposal Nitrogen pump purge effects (larger volume of air to treat and to heat) COO could be high – hardware – facilitization – consumables (fuel: hydrogen, oxygen/methane/air) – energy (heating of gas) Safety of operation (~1300 -1500°C) Tends to be run continuously NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 17

Chemical-Thermal Abatement (Reactive Adsorption) l l l Several developmental systems (CS Systems, Edwards, Kanto

Chemical-Thermal Abatement (Reactive Adsorption) l l l Several developmental systems (CS Systems, Edwards, Kanto Denka, . . . ), more commercial demonstrations needed for PFCs Some fab uses for other applications (ie Cl from metal etch), potential for switching (i. e. dual use). Nitrogen pump purge effects No external chemicals/fuels (direct pyrolysis) Metal consumable [Iron supported on high surface area alumina (Al 2 O 3) pellets is converted to metal fluoride @ ~400°C. In some cases this could be used as ore for metal refining. ] Uses packed bed reactor – solids replacement? (will influence COO) – thermal management (heat needs to be removed from bed) – plugging & breakthrough l Small units, easy on/off use NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 18

Plasma-Based Abatement l l l l l Developmental systems (ETC, Texas A&M, MIT, Motorola,

Plasma-Based Abatement l l l l l Developmental systems (ETC, Texas A&M, MIT, Motorola, Los Alamos, NRL. . . ), commercial demonstration needed Based on existing plasma technology Uses undiluted process stream (no nitrogen purge effect) By-product disposal (HF) Potential for backstreaming to process reactor Needs to be controlled based on operation of process reactor Fast switching Small Can be incorporated into CVD tool (sometimes called chamber satellites or processing aides) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 19

Recycle l l Desirable for processes with low conversion efficiencies (10 -30%) Overall efficiency

Recycle l l Desirable for processes with low conversion efficiencies (10 -30%) Overall efficiency can be 100% with recycle Pretreatment , such as condensation to remove etch products (acids, pyrophorics, particulates) Recovered purity must be very high to allow for direct reuse pure PFC reactor pure PFC unreacted PFC & etch products separator etch products NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 20

Recovery (+ Recycle/Reclaim) l l Developmental activities (Air Liquide, Air Products/Radian BOC, Praxair/Eco. Sys,

Recovery (+ Recycle/Reclaim) l l Developmental activities (Air Liquide, Air Products/Radian BOC, Praxair/Eco. Sys, Showa Denko. . ). Commercial demonstration needed. nitrogen pump purge effects By-product management (safety issues for concentrated materials) Favorable COO for direct recycle NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing 21