Firefly Illuminating Future NetworkonChip with Nanophotonics Yan Pan

  • Slides: 25
Download presentation
Firefly: Illuminating Future Network-on-Chip with Nanophotonics Yan Pan, Prabhat Kumar, John Kim†, Gokhan Memik,

Firefly: Illuminating Future Network-on-Chip with Nanophotonics Yan Pan, Prabhat Kumar, John Kim†, Gokhan Memik, Yu Zhang, Alok Choudhary EECS Department Northwestern University Evanston, IL, USA {panyan, prabhat-kumar, g-memik, yu-zhang, a-choudhary} @northwestern. edu CS Department KAIST Daejeon, Korea † jjk 12@cs. kaist. ac. kr

On-Chip Network Topologies Mesh [MIT RAW] [TILE 64] [Teraflops] C-Mesh [Balfour’ 06] [Cianchetti’ 09]

On-Chip Network Topologies Mesh [MIT RAW] [TILE 64] [Teraflops] C-Mesh [Balfour’ 06] [Cianchetti’ 09] § § Motivation Architecture of Firefly Evaluation Conclusion Crossbar [Vantrease’ 08] [Kirman’ 06] Others: Torus[Shacham’ 07], Flattened Butterfly[Kim’ 07], Dragonfly[Kim’ 08], Hierarchical(Bus&Mesh)[Das’ 08], Clos[Joshi’ 09], Ring[Larrabee], …… ► Network-on-chip is critical for performance. Yan Pan ISCA 2009 2/25

Signaling technologies ► § § Motivation Architecture of Firefly Evaluation Conclusion Electrical signaling –

Signaling technologies ► § § Motivation Architecture of Firefly Evaluation Conclusion Electrical signaling – Repeater insertion needed – Bandwidth density (up to 8 Gbps/um) [Chang HPCA‘ 08] ► Nanophotonics – Bandwidth density ~100 Gbps/ μm !!! [Batten HOTI’ 08] – Generally distance independent power consumption – Speed of light low latency • Propagation • Switching [Cianchetti ISCA’ 09] Yan Pan ISCA 2009 3/25

Nanophotonic components resonant detectors coupler § § Motivation Architecture of Firefly Evaluation Conclusion Ge-doped

Nanophotonic components resonant detectors coupler § § Motivation Architecture of Firefly Evaluation Conclusion Ge-doped waveguide off-chip laser source resonant modulators ► Basic components Yan Pan ISCA 2009 4/25

§ § Resonant Rings ► Motivation Architecture of Firefly Evaluation Conclusion Radius r Baseline

§ § Resonant Rings ► Motivation Architecture of Firefly Evaluation Conclusion Radius r Baseline Wavelength Temperature t Manufacturing error correction Carrier density d Fast tuning by charge injection Selective – Couple optical energy of a specific wavelength Yan Pan ISCA 2009 5/25

§ § Putting it together 10001011 Motivation Architecture of Firefly Evaluation Conclusion 11010101 64

§ § Putting it together 10001011 Motivation Architecture of Firefly Evaluation Conclusion 11010101 64 wavelengths DWDM 3 ~ 5μm waveguide pitch 10 Gbps per link 10001011 ► 11010101 Modulation & detection ~100 Gbps/μm bandwidth density – ~100 Gbps/μm bandwidth density [Batten HOTI’ 08] Yan Pan ISCA 2009 6/25

§ § What’s the catch? ► Power Cost – – ► Motivation Architecture of

§ § What’s the catch? ► Power Cost – – ► Motivation Architecture of Firefly Evaluation Conclusion Ring heating Laser Power E/O & O/E conversions Distance insensitive For long shortlinks (2. 5 mm) – – – Nanophotonics • Cost stays the same Electrical • RC lines with repeater • insertion Cost increases [Batten HOTI’ 08] Yan Pan ISCA 2009 [Cheng ISCA’ 06] 7/25

Here is the idea …… ► § § Motivation Architecture of Firefly Evaluation Conclusion

Here is the idea …… ► § § Motivation Architecture of Firefly Evaluation Conclusion Design an architecture that differentiates traffic. – Use electrical signaling for short links. – Use nanophotonics only for long range traffic. ► What do we gain? – – – Low latency High bandwidth density High power efficiency Localized arbitration Scalability Yan Pan ISCA 2009 8/25

§ § Outline ► ► Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Motivation

§ § Outline ► ► Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Motivation Architecture of Firefly Evaluation Conclusion Yan Pan ISCA 2009 9/25

Layout View of 64 -core Firefly ► § § Motivation Architecture Firefly Architecture ofof

Layout View of 64 -core Firefly ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Concentration – 4 cores share a router – 16 routers Yan Pan ISCA 2009 10/25

Layout View of 64 -core Firefly ► ► Concentration Clusters – Electrically connected –

Layout View of 64 -core Firefly ► ► Concentration Clusters – Electrically connected – Mesh topology – 4 routers per cluster – 4 clusters Yan Pan § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Cluster 0 (C 0) Cluster 1 (C 1) Cluster 2 (C 2) Cluster 3 (C 3) ISCA 2009 11/25

Layout View of 64 -core Firefly ► ► ► § § Motivation Architecture Firefly

Layout View of 64 -core Firefly ► ► ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Concentration Clusters Assemblies – Routers from different clusters – Optically connected – Logical crossbars Yan Pan ISCA 2009 12/25

Layout View of 64 -core Firefly ► § § Motivation Architecture Firefly Architecture ofof

Layout View of 64 -core Firefly ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Clusters – Electrical CMESH ► Assemblies – Nanophotonic crossbars Nanophotonic Crossbars Efficient nanophotonic crossbars needed! Yan Pan ISCA 2009 13/25

Nanophotonic crossbars ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Single-Write-Multiple-Read

Nanophotonic crossbars ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Single-Write-Multiple-Read (SWMR) [Kirman’ 06] (CMXbar†) – – Dedicated sending channel Multicast in nature Receiver compare & discard High fan-out laser power † [Joshi NOCS’ 09] SWMR Crossbar Yan Pan ISCA 2009 14/25

Nanophotonic crossbars ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Multiple-Write-Single-Read

Nanophotonic crossbars ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Multiple-Write-Single-Read (MWSR)[Vantrease’ 08] (DMXbar†) – Dedicated receiving channel – Demux to channel – Global arbitration needed! † [Joshi NOCS’ 09] MWSR Crossbar Yan Pan ISCA 2009 15/25

Reservation-assisted SWMR ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Goal

Reservation-assisted SWMR ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Goal – Avoid global arbitration – Reduce power ► Proposed design – Reservation channels • Narrow – Multicast to reserve • Destination ID • Packet length – Uni-cast data packet Yan Pan R-SWMR Crossbar ISCA 2009 16/25

§ § Router Microarchitecture Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Separate receiving

§ § Router Microarchitecture Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Separate receiving channels from other clusters. ► Dedicated sending channel for all traffic. Virtual-channel router – Added optical link ports and extra buffer. Yan Pan ISCA 2009 17/25

Routing ► (FIREFLY_dest) § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Routing

Routing ► (FIREFLY_dest) § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Routing – Intra-cluster routing – Traversing optical link Yan Pan ISCA 2009 18/25

Firefly – another look ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation

Firefly – another look ► § § Motivation Architecture Firefly Architecture ofof Firefly Evaluation Conclusion Clusters – Short electrical links – Concentrated mesh ► Assemblies – Long nanophotonic links – Partitioned crossbars ► Benefits – – Traffic locality Reduced hardware Localized arbitration Distributed inter-cluster bandwidth Yan Pan ISCA 2009 19/25

§ § Outline ► ► Motivation Architecture of Firefly Evaluation Conclusion Yan Pan ISCA

§ § Outline ► ► Motivation Architecture of Firefly Evaluation Conclusion Yan Pan ISCA 2009 20/25

§ § Evaluation Setup Motivation Architecture of Firefly Evaluation Conclusion Electrical Hybrid Optical Hybrid

§ § Evaluation Setup Motivation Architecture of Firefly Evaluation Conclusion Electrical Hybrid Optical Hybrid ► ► ► Cycle-accurate simulator (Booksim) Firefly vs. CMESH, Dragonfly† and OP_XBAR Synthetic traffic patterns and traces Yan Pan ISCA 2009 [† Kim et al, ISCA’ 08] 21/25

§ § Load / Latency Curve 4. 8 x 70% Bitcomp, 1 -cycle ►

§ § Load / Latency Curve 4. 8 x 70% Bitcomp, 1 -cycle ► Motivation Architecture of Firefly Evaluation Conclusion Uniform, 1 -cycle Throughput – Up to 4. 8 x over OP_XBAR – At least +70% over Dragonfly Yan Pan ISCA 2009 22/25

§ § Energy Breakdown ► ► ► Motivation Architecture of Firefly Evaluation Conclusion Reduced

§ § Energy Breakdown ► ► ► Motivation Architecture of Firefly Evaluation Conclusion Reduced hardware by partitioning – Reduced heating Data Path # Rings Throughput impact Locality 1 radix-64 crossbar 1024 K 8 radix-8 crossbar 128 K – 34% energy reduction over OP_XBAR with locality Yan Pan ISCA 2009 23/25

Technology Sensitivity bitcomp ► ► § § Motivation Architecture of Firefly Evaluation Conclusion taper_L

Technology Sensitivity bitcomp ► ► § § Motivation Architecture of Firefly Evaluation Conclusion taper_L 0. 7 D 7 α is heating ratio and β is laser ratio. Firefly favors traffic locality. Yan Pan ISCA 2009 24/25

§ § Conclusion ► Motivation Architecture of Firefly Evaluation Conclusion Technology impacts architecture –

§ § Conclusion ► Motivation Architecture of Firefly Evaluation Conclusion Technology impacts architecture – New opportunities in nanophotonics • Low latency, high bandwidth density – Tailored architectures needed ► Firefly benefits from nanophotonics by providing – Power Efficiency • Hybrid signaling • Partitioned R-SWMR crossbars Reduced hardware/power – Scalability • Scalable inter-cluster bandwidth • Low-radix routers/crossbars Yan Pan ISCA 2009 25/25