PFC Reduction Technologies Destruction Recovery Optimization Phyllis Pei

  • Slides: 35
Download presentation
PFC Reduction Technologies: Destruction Recovery Optimization Phyllis Pei, Walter Worth Sematech Semicon/Korea 1997 1999

PFC Reduction Technologies: Destruction Recovery Optimization Phyllis Pei, Walter Worth Sematech Semicon/Korea 1997 1999 Arizona Board of Regents for The University of Arizona NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 1

Outline • General Comments on PFC Abatement • PFC Destruction: – Combustion – Chemical/Thermal

Outline • General Comments on PFC Abatement • PFC Destruction: – Combustion – Chemical/Thermal – Plasma • Recovery/Recycle • Optimization NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 2

SEMATECH GLOBAL WARMING PROGRAM OBJECTIVE Decrease the use and reduce the emissions of potential

SEMATECH GLOBAL WARMING PROGRAM OBJECTIVE Decrease the use and reduce the emissions of potential global warming semiconductor processing materials; specifically the perfluorocompounds (PFCs), such as CF 4, C 2 F 6, NF 3, SF 6 and substituted PFCs such as CHF 3 NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 3

Typical FAB Atmosphere PFC’s CF 4 C 2 F 6 NF 3 SF 6

Typical FAB Atmosphere PFC’s CF 4 C 2 F 6 NF 3 SF 6 Etch or CVD Tool Vacuum System Fab Scrubber (water) N 2 Purge NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 4

Project Strategy Alternative Chemicals * Destruction/ * Decomposition Combustion * Global Warming Gas Reduction

Project Strategy Alternative Chemicals * Destruction/ * Decomposition Combustion * Global Warming Gas Reduction Process * Optimization Recovery * & Recycle Plasma * Thermal/ Chemical NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 5

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump Treatment Optimization Recovery/Recycle Alternatives Plasma Destruction Pump Abatement Combustion Chem/Thermal Absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 6

Example Conversions in Chamber Clean Applications * PFC CF 4 C 2 F 6

Example Conversions in Chamber Clean Applications * PFC CF 4 C 2 F 6 C 3 F 8 NF 3 CHF 3 SF 6 * % Consumed in Tool 5 30 60 60 40 20 % Emitted 95 70 40 40 60 80 Actual values may vary significantly based on process, tool, recipe, etc. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 7

Technology Options Process Optimization Recovery/Recycle Greener, Preferred Technology Alternative Chemicals Commercial Availability Plasma Destruction

Technology Options Process Optimization Recovery/Recycle Greener, Preferred Technology Alternative Chemicals Commercial Availability Plasma Destruction Thermal/Chemical Reaction Combustion NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 8

PFC Abatement Options / Devices Combustion Centrotherm Flawamat DAS Escape Delatech CDO* Eco. Sys

PFC Abatement Options / Devices Combustion Centrotherm Flawamat DAS Escape Delatech CDO* Eco. Sys Guardian Eco. Sys Phoenix* Edwards TPU* Chemical/Thermal Destruction CS Systems Edwards GRC Kanto Denka Plasma Destruction MIT Microwave* ETC Dry. Scrub* Texas A&M rf surface wave * Los Alamos Pulsed Corona * PRC * * Evaluation supported by SEMATECH NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 9

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump Treatment Optimization Recovery/Recycle Alternatives Plasma Destruction Pump Abatement Combustion Chem/Thermal Absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 10

Main Features of a Combustion Device a. A combustion chamber followed by water scrubber

Main Features of a Combustion Device a. A combustion chamber followed by water scrubber in POU cabinet b. Handles up to four tool chambers (200 lpm), each with separate inlet to avoid mixing reactive materials such as silane and NF 3 c. Uses either methane (CH 4) or hydrogen (H 2) as fuel d. Operates in 850 -1000 ºC range for CF 4 destruction, the most difficult to combust NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 11

Main Features of a Combustion Device (Cont’d) e. The best can achieve > 95%

Main Features of a Combustion Device (Cont’d) e. The best can achieve > 95% destruction for all PFCs f. Combustion by-products include: COF 2, HF, NOX, CO 2 g. Integral scrubber uses 6 -8 gpm of water to remove HF, COF 2 and heat of combustion h. Foot print approx. 24” x 36” x (60” high) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 12

Concerns with PFC Abatement a. Difficult for PFCs, especially CF 4, due to stability

Concerns with PFC Abatement a. Difficult for PFCs, especially CF 4, due to stability b. Often creates other environmental problems: NOx and HAPs c. Generally expensive d. Potential safety concerns: combustion, fuel gas, high temperatures and toxic byproducts e. May impact process tool uptime f. Fab floor space limitations NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 13

Combustion Technology • Strengths • – Industry is familiar with combustion – Industry is

Combustion Technology • Strengths • – Industry is familiar with combustion – Industry is currently using “burn boxes” to treat pyrophorics – One device may be able to abate pyrophorics and PFCs – Most devices have an integral scrubber to remove HF – Technology is closest to being production-worthy Issues – Need open flame and high temperatures (> 850 ºC) to break down PFCs – Produces HF, CO 2 (more GW gas) & NOx (in some cases) – Costs for fuel and water (for scrubber) are significant – Fab may not be piped for fuel – Uses valuable fab floor space – Cost of ownership is high ($50$100 K)/year NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 14

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump Treatment Optimization Recovery/Recycle Alternatives Plasma Destruction Pump Abatement Combustion Chem/Thermal Absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 15

Main Features of Chemical/Thermal Device a. Gases are chemisorbed on reactive granular solids b.

Main Features of Chemical/Thermal Device a. Gases are chemisorbed on reactive granular solids b. Chemistry is generally trade secret c. Solids are held in disposable or reusable stainless steel cartridge d. Solids are electrically heated to 300 -500 °C e. PFCs are converted to stable, non-hazardous salts f. Solids are replaced when the bed is exhausted g. Footprint of dual cartridge cabinet is 30” x 57” (72” high) h. Limited ability to destroy CF 4 in some cases NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 16

Example: CS System a. b. c. d. e. f. g. A packed-bed reactor filled

Example: CS System a. b. c. d. e. f. g. A packed-bed reactor filled with iron-based granules Irreversible chemisorption on catalytically active absorbents Laboratory tests show it can treat all PFCs (99% DRE) Conversion of PFCs to stable, non-hazardous salts Capacity for CF 4 and C 2 F 6 not yet known Operating temperatures are 300 - 450 °C Foot print for dual bed cabinet: 57” x 30” x 71” (H) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 17

Chemical/Thermal Destruction Technologies • Strengths – Reaction product is a nonhazardous solid that can

Chemical/Thermal Destruction Technologies • Strengths – Reaction product is a nonhazardous solid that can be landfilled – Industry is familiar with concept (technology is used in fabs today to treat toxic hydrides) – Low energy cost – It is a passive system; no moving parts – Scalable, and can accommodate intermittent operations • Issues – Cartridge has limited capacity, may only be suitable for etch tools (low PFC flow rates) – Packed bed reactor issues (e. g. , plugging, breakthrough, pressure drop) – Disposal of solids is a concern (e. g. , long term liability of landfilled waste) – Cost of ownership is high – Uses valuable fab floor space NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 18

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump Treatment Optimization Recovery/Recycle Alternatives Plasma Destruction Pump Abatement Combustion Chem/Thermal Absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 19

Example: ETC Dry. Scrub a. RF plasma device normally installed downstream of the tool

Example: ETC Dry. Scrub a. RF plasma device normally installed downstream of the tool and ahead of the vacuum pump b. Electrode consists of multi-turn, high-conductance spirals that form the path for the gas flow (45 sq. ft. of surface area) c. The residual process gases leaving the tool are reacted to depletion as they pass through device d. The electrode is both the reaction and collection chamber for the solid products formed from the reaction e. The solids-laden electrode is replaced and discarded NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 20

Plasma Destruction Technology • Strengths – Industry is familiar with plasma technology – Treats

Plasma Destruction Technology • Strengths – Industry is familiar with plasma technology – Treats tool exhaust upstream of pump (no N 2 dilution form vacuum pump) – Promises to have low cost of ownership – Products of destruction may all be water-scrubbed • Issues – Commercially available RF plasma devices have shown limited effectiveness for PFCs – May require microwave plasma based on successful laboratory tests – Technology still in experimental phase – May not be transparent to process tool – May require additional water scrubber NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 21

Status of PFC Abatement Technology a. Suppliers are working feverishly to develop new devices

Status of PFC Abatement Technology a. Suppliers are working feverishly to develop new devices and improve existing ones. b. Chip manufacturers have committed significant resources to evaluate the systems under development. c. Progress is slow, but significant progress has already been made and further advances are on the horizon. d. Combustion technology is the most advanced and has the most players. e. Packed bed, thermal/chemical destruction is becoming viable. f. Plasma decomposition is still in the experimental phase. NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 22

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Chamber Pre-Pump Treatment Optimization Recovery/Recycle Alternatives Plasma Destruction Pump Abatement Combustion Chem/Thermal Absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 23

PFC Recovery Technologies • Membrane Separation (Air Liquide) • Pressure Swing Absorption (Air Products/Radian,

PFC Recovery Technologies • Membrane Separation (Air Liquide) • Pressure Swing Absorption (Air Products/Radian, BOC) • Cryogenic Extraction (Praxair/Ecosys) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 24

Example: MEGASORBTM Approach a. Process developed by Air Products & Dow Environmental (now Radian

Example: MEGASORBTM Approach a. Process developed by Air Products & Dow Environmental (now Radian International LLC) b. Uses SORBATHENETM resins proven for VOCs c. Captures all PFCs for central abatement, recovery and/or purification d. Flexible for varying recipes, fab sizes, purity levels, flow rates e. Synergistic with MEGASYSTM onsite services and analytical expertise f. Demonstrated recovery >99% possible NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 25

MEGASORB ™ Process Flow Scheme Process Chamber Pretreatment Packaging Wet Scrubber Cryo Distillation Dryer

MEGASORB ™ Process Flow Scheme Process Chamber Pretreatment Packaging Wet Scrubber Cryo Distillation Dryer Sorbathene PSA Condenser NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 26

Recycling/Recovery Technology • • Strengths – Closed loop system with no PFC emissions –

Recycling/Recovery Technology • • Strengths – Closed loop system with no PFC emissions – Recovers costly PFC gases – High recovery using currently available technologies – Purification uses proven cryogenic techniques Issues – Requires pre-treatment of gases prior to recovery – Repurifying PFCs to “like new” may not be cost effective – Need to replumb PFC exhaust to a common manifold – NF 3 and CF 4 have almost identical boiling points separation may be difficult – Low concentration of recovered PFC using pressure swing absorption NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 27

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Pre-Pump Treatment

Typical Etch and CVD Systems Atmosphere N 2 Scrubber L/min PFC cc/min Pre-Pump Treatment Chamber Optimization Alternatives Recovery/Recycle Pump Abatement Combustion Chem/Thermal Absorption Plasma Destruction NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 28

Process Optimization Example • • • IBM Burlington optimized the TEOS & PSG CVD

Process Optimization Example • • • IBM Burlington optimized the TEOS & PSG CVD processes – Used endpoint detection (Fourth State RF metrology) to optimize twostep clean; PFC reduction was not the major objective Achieved the following : – 50% reduction in C 2 F 6 usage – 100% reduction in NF 3 usage – 25% reduction in chamber consumable parts – increased throughput Implementation in one fab produced annual savings of: – $1. 2 M in added throughput – $300 K in chemicals NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 29

Validation of C 3 F 8 as Drop-in Replacement for C 2 F 6

Validation of C 3 F 8 as Drop-in Replacement for C 2 F 6 • Project Tasks: – Perform Design of Experiments (DOE) to compare C 3 F 8 vs C 2 F 6 – Marathon wafer run to monitor TEOS film properties (particle count, stress, thickness uniformity) – Compare PFC emission and etch gas utilization NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 30

C 3 F 8 Tests at AMD • C 3 F 8 DOE optimization

C 3 F 8 Tests at AMD • C 3 F 8 DOE optimization conditions: – 1000 sccm C 3 F 8, 1400 sccm O 2 and 3. 6 torr • With C 3 F 8: –C 3 F 8 flow is 60% less (45% fewer pounds) –O 2 flow is 30% less –etch gas utilization = 60 -70% (vs 30 -40% for C 2 F 6) –net greenhouse gas reduction = 70% • No TEOS film or chamber hardware degradation NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 31

Optimization • Strengths – Most desirable, chemical and cost saving option – Good for

Optimization • Strengths – Most desirable, chemical and cost saving option – Good for CVD chamber clean applications – Equipment suppliers are active in this area • Issues – Requires experimentation on process, which may be too costly, risky, and time consuming – Needs beta test demonstration to transfer technology – May require equipment retrofit NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 32

Status of Technology • Alternative Chemistries – – – • Most desirable, but probably

Status of Technology • Alternative Chemistries – – – • Most desirable, but probably most difficult A long-term solution Most likely flourine-based, less stable and more hazardous MIT study underway to find suitable replacements Schumacher, 3 M, Du. Pont also doing R&D Process Optimization – Very desirable – Reduces chemical cost & emissions, may increase throughput and prolong life of reactor internals – End-point detection and reactor modifications – Progress already made, room for more (reductions of 50% have been demonstrated on some processes) NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 33

Status of Technology (cont’d) • Recovery/ Recycle of Unused PFCs – – • Preferred

Status of Technology (cont’d) • Recovery/ Recycle of Unused PFCs – – • Preferred over abatement “Greener” technology with potential pay-back Significant appeal for larger fabs Five gas companies are developing systems currently Combustion – – – Abatment option closest to commercialization Need flame and high temperatures to break down PFCs Produces HF, NOx , COF 2 and CO 2 (more GW gas) Fuel and water (for scrubber) costs are significant Cost of Ownership ranges from $47 - $106 K/year for device handling four chambers – Opportunities for suppliers to optimize NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 34

Technology Status (cont’d) • Chemical/Thermal Destruction – – • Involves reacting the PFCs with

Technology Status (cont’d) • Chemical/Thermal Destruction – – • Involves reacting the PFCs with granular solids Technology is well developed for hydrides, but treating PFCs requires new chemistries and elevated temperatures Cartridge has limited capacity, may only be suitable for etch tools Disposal of spent solids a concern Plasma Destruction – – Advantage: treats tool exhaust upstream of pump (no N 2 purge dilution) MIT study showed excellent abatement of CF 4, C 2 F 6 & SF 6 in a microwave plasma reactor Commercially available RF plasma devices such as Dry. Scrub and PRC are not effective Requires water scrubber NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Pei, et al. 35