Lenguajes de Descripcin de Hardware HDL Estos lenguajes

  • Slides: 18
Download presentation
Lenguajes de Descripción de Hardware • HDL: Estos lenguajes permitieron solucionar el problema de

Lenguajes de Descripción de Hardware • HDL: Estos lenguajes permitieron solucionar el problema de describir un circuito NO por sus conexiones (Netlist) sino más bien por su funcionamiento. VHDL Carlos Augusto Fajardo Ariza • Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

VHDL Principalmente toda descripción está compuesta por dos partes o unidades: • La Entidad

VHDL Principalmente toda descripción está compuesta por dos partes o unidades: • La Entidad (ENTITY): Define el símbolo del circuito, es decir, sus entradas y salidas. En otras palabras es la caja negra que lo contiene. • Arquitectura (ARCHITECTURE): Donde se define el funcionamiento del módulo definido en la entidad. VHDL Carlos Augusto Fajardo Ariza VHSIC HDL: Very High Speed Integrated Circuit HDL

Entidad Carlos Augusto Fajardo Ariza • Descripción de las entradas y salidas de un

Entidad Carlos Augusto Fajardo Ariza • Descripción de las entradas y salidas de un circuito de diseño. VHDL

Declaración de Entidades Los puertos (Port ) definen las entradas y salidas del módulo.

Declaración de Entidades Los puertos (Port ) definen las entradas y salidas del módulo. Todos los puertos que son declarados deben tener: 1. Nombre: para identificarlos 2. Modo: salida, entrada, etc. 3. Tipo: bit, entero, etc. VHDL Carlos Augusto Fajardo Ariza SINTANXIS entity nombre_entidad is Port ( …. ); end nombre_entidad;

Declaración de Entidades IN: Señales de entrada a la entidad, son sólo de lectura,

Declaración de Entidades IN: Señales de entrada a la entidad, son sólo de lectura, no puede asignarles ningún valor dentro del programa. OUT: Señales de salida de la entidad, no pueden leerse, es decir, no pueden ser usadas dentro de una descripción. INOUT: Puerto bidireccional, puede ser usado en el programa como escritura y lectura BUFFER: Se comporta como un terminal de salida con la diferencia que se puede leer. VHDL Carlos Augusto Fajardo Ariza Modos

Declaración de Entidades 1. 2. 3. 4. 5. Bit: Toma los valores 0 y

Declaración de Entidades 1. 2. 3. 4. 5. Bit: Toma los valores 0 y 1 lógicos Boolean: Define valores verdadero y falso en una expresión. Bit_vector: representa un conjunto de bits. Integer (entero): representa un número entero. STD_LOGIC (standard logic): También llamado IEEE Std. 1164 Multi. Valued ‘ 0’, (Forcing 0): Cero lógico ‘ 1’, (Forcing 1): Uno lógico ‘Z’, (High Impedance) ‘-’ (Don’t care) ‘X’, (Forcing Unknown) ‘U’ (Uninitialized) Cuando no se asigna un valor inicial. ‘W’, (Weak Unknown) ‘L’, (Weak 0) ‘H’, ( Weak 1) VHDL Carlos Augusto Fajardo Ariza Tipos El tipo de un puerto, o señal o variable, determina los valores que esta puede tomar:

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de un bit VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de un bit VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Mux de 2 a 1 VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Mux de 2 a 1 VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de cuatro bits VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de cuatro bits VHDL

Librerías y paquetes Una librerías o biblioteca es una colección de unidades de diseño

Librerías y paquetes Una librerías o biblioteca es una colección de unidades de diseño (paquete) compiladas previamente para su posterior uso. Su función es agilizar el diseño VHDL Carlos Augusto Fajardo Ariza Declaración de entidades por medio de librerías y paquetes:

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de cuatro bits VHDL

Declaración de Entidades Carlos Augusto Fajardo Ariza Sumador de cuatro bits VHDL

Arquitecturas • VHDL ofrece un lenguaje para hacer el diseño de la arquitectura de

Arquitecturas • VHDL ofrece un lenguaje para hacer el diseño de la arquitectura de la entidad a través de un algoritmo de programación, para que esta cumpla con la función deseada. VHDL Carlos Augusto Fajardo Ariza La Arquitectura se define como la unidad de diseño que define el comportamiento de una entidad:

Estilos de Programación • Estilo por flujo de datos (RTL): se describe el flujo

Estilos de Programación • Estilo por flujo de datos (RTL): se describe el flujo que tomarán los datos entre los módulos encargados de realizar las operaciones. Es un modelo que se aproxima más a la realidad del circuito. Las instrucciones son concurrente (ocurren al mismo tiempo). • Estilo Estructural: Se describe una lista de interconexiones (Netlist) entre componentes. VHDL Carlos Augusto Fajardo Ariza • Estilo funcional (comportamental): se describe la relación entre la entrada y salida del circuito sin importar cómo este organizado por dentro.

Carlos Augusto Fajardo Ariza Estilo funcional (comportamental) VHDL

Carlos Augusto Fajardo Ariza Estilo funcional (comportamental) VHDL

En este estilo no importa el orden de las instrucciones pues todas ocurren al

En este estilo no importa el orden de las instrucciones pues todas ocurren al mismo tiempo VHDL Carlos Augusto Fajardo Ariza Estilo por flujo de datos (RTL)

Carlos Augusto Fajardo Ariza Estilo por flujo de datos (RTL) de otra forma VHDL

Carlos Augusto Fajardo Ariza Estilo por flujo de datos (RTL) de otra forma VHDL

Carlos Augusto Fajardo Ariza Estilo Estructural VHDL

Carlos Augusto Fajardo Ariza Estilo Estructural VHDL

VHDL Carlos Augusto Fajardo Ariza

VHDL Carlos Augusto Fajardo Ariza