HighDimensional Metamodeling for Prediction of Clock Tree Synthesis

  • Slides: 36
Download presentation
High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes Andrew B. Kahng, Bill Lin

High-Dimensional Metamodeling for Prediction of Clock Tree Synthesis Outcomes Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY, UC San Diego / VLSI CAD Laboratory -1 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -2 -

Challenge: High Dimensionality n Why is CTS prediction hard? Testcases Layout contexts Outcomes? (power,

Challenge: High Dimensionality n Why is CTS prediction hard? Testcases Layout contexts Outcomes? (power, skew, delay, wirelength) Tools & knobs CTS instance CTS prediction is difficult due to inherent high dimensionality -3 -

800 700 600 500 400 300 200 100 0 BL n n BLM B

800 700 600 500 400 300 200 100 0 BL n n BLM B RBM R 10 8 4 3 2. 5 2 1 0. 5 0. 4 0. 33000000 01 0. 25 0. 125 R 0. 1 Fall delay (ps) Challenge: Sensitivity RBM BL BLM B Core aspect ratio Delay varies by up to 43% with clock entry point locations Delay varies by up to 45% with core aspect ratio CTS outcomes are sensitive to instance parameters -4 -

Challenge: Multicollinearity 350% D= 8 9 10 11 12 13 300% 250% 200% 150%

Challenge: Multicollinearity 350% D= 8 9 10 11 12 13 300% 250% 200% 150% 100% 50% 0% LHS MARS n AS LHS AS RBF LHS AS KG LHS AS HSM Estimation errors increase at high dimensions -5 -

Challenge: Realistic Instances Sinks (x, y) ISPD 2010 CTS Benchmark 01 Rectangular core Placement

Challenge: Realistic Instances Sinks (x, y) ISPD 2010 CTS Benchmark 01 Rectangular core Placement blockage Simple testcases and layout contexts do not reflect real-world CTS instances -6 -

Contributions n n Generate realistic testcases with real-world CTS structures Study and identify appropriate

Contributions n n Generate realistic testcases with real-world CTS structures Study and identify appropriate modeling parameters Propose hierarchical hybrid surrogate modeling (HHSM) – a divide and conquer approach to overcome parameter collinearity issues Develop prediction methodologies for practical use models – Which tool should be used? – How should the tool be driven? – How wrong can the model guidance be? n Validate methodologies on a new CTS instance -7 -

Related Works n Testcases – Tsay 90 n CTS testcases r 1 - r

Related Works n Testcases – Tsay 90 n CTS testcases r 1 - r 5 with sink (x, y) coordinates – ISPD 2010 Placement blockage n Inverters/buffers in clock hierarchy n n Prediction – Kahng 02 n CUBIST to estimate clock skew, insertion delay – Kahng 13 MARS, RBF, KG, HSM to estimate several clock metrics n Uniform placement of sinks, no combinational logic n Gaps in testcases and layout contexts -8 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -9 -

Example of Our CTS Testcase Glitch Free MUX cg_en[0] clk Clk root pin CGC

Example of Our CTS Testcase Glitch Free MUX cg_en[0] clk Clk root pin CGC cg_en[1] K 1 CGC DIV-4 mux_en[0] DIV-8 Sinks cg_en[2] CGC cg_en[3] K 2 K 3 CGC cg_en[4] K 4 CGC DIV 24 n Real-world clock structures cg_en[5] CGC K 5 cg_en[6] CGC K 6 – Clock-gating cells (CGCs) – Clock dividers – Gitch-free clock MUX n n Multiple levels in the clock tree hierarchy (K 6 vs. K 2) Generators, runscripts to be published -10 -

Example of Our CTS Instance Sinks (x, y) Clock dividers Buffers Clock-gating cells Clock

Example of Our CTS Instance Sinks (x, y) Clock dividers Buffers Clock-gating cells Clock MUX Nonuniform sink placement Core (aspect ratio =1) Clock entry point location Placement and routing blockage -11 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -12 -

Modeling Parameters n Microarchitectural – Msinks – # sinks n Floorplan context – Mcore,

Modeling Parameters n Microarchitectural – Msinks – # sinks n Floorplan context – Mcore, MAR – core area and aspect ratio – MCEP – clock entry point – Mblock – placement and routing blockage % of core area n Tool constraints – – n Mskew, Mdelay – max skew and insertion delay Mbuftran, Msinktran – max buffer and sink transition time MFO – max fanout Mbufsize , Mwire– max buffer size and wire width Nonuniformity measure – MDCT – nonuniformity in sink placement -13 -

Modeling Flow Testcase Verilog RTL Floorplan parameters Gate-level netlist Synthesis (DC) Generate placed DEF

Modeling Flow Testcase Verilog RTL Floorplan parameters Gate-level netlist Synthesis (DC) Generate placed DEF CTS tool parameters CTS instance Nonuniformity parameter CTS + CT route µArch parameter CTS + CT route (Tool. A) (Tool. B) Extract CTS metrics Metamodeling Fitted models for metrics -14 -

Metamodeling Techniques n n Accurate because they derive surrogate models from actual post-CTS data

Metamodeling Techniques n n Accurate because they derive surrogate models from actual post-CTS data Our techniques – – Hybrid Surrogate Modeling (HSM) [Kahng 13] Multivariate Adaptive Regression Splines (MARS) [Friedman 91] Radial Basis Function (RBF) [Buhmann 03] Kriging (KG) [Matheron 78] -15 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -16 -

Multicollinearity n If parameters are linear combinations of each other – – Example: MAR,

Multicollinearity n If parameters are linear combinations of each other – – Example: MAR, Mbuftran, Msinktran, Mwire Matrix of parameters is ill-conditioned Large variance in regression coefficients Hard to determine relationship between parameters and output – Large errors between actual and predicted outputs as D increases n Previous works [Kahng 13] report large estimation errors (≥ 30%) as D ≥ 10 -17 -

Our Solution: HHSM Hierarchical Hybrid Surrogate Modeling Divide the parameters (D) into two sets

Our Solution: HHSM Hierarchical Hybrid Surrogate Modeling Divide the parameters (D) into two sets n n – – One set of k parameters has low collinearity Other set of D – k parameters may have high collinearity Derive HSM surrogate models for each set Combine using weights from least-squares regression where, w 1, 2 are weights w 1 : k parameters with low collinearity w 2 : D – k parameters with high collinearity -18 -

HHSM Accuracy D= 40% 8 9 10 11 12 13 35% 30% 25% 20%

HHSM Accuracy D= 40% 8 9 10 11 12 13 35% 30% 25% 20% 15% ≤ 13% ≤ 2% 10% 5% 0% HSM HHSM Skew n HSM HHSM Delay HSM HHSM Power HSM HHSM WL -19 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -20 -

Use Models For Prediction n Develop methodologies to answer three questions – Q 1:

Use Models For Prediction n Develop methodologies to answer three questions – Q 1: Which tool should be used? – Q 2: How should the tool be driven? – Q 3: How wrong can the model guidance be? -21 -

Q 1: Which Tool Should Be Used? n Methodology – – Determine the better

Q 1: Which Tool Should Be Used? n Methodology – – Determine the better tool using models Compare with actual post-CTS data D Skew Power Delay Wirelength 8 5. 26 4. 55 4. 87 4. 92 9 5. 26 4. 93 5. 01 10 5. 82 4. 62 4. 94 5. 03 11 5. 88 4. 94 5. 11 12 6. 12 5. 23 4. 95 5. 25 13 6. 13 5. 23 4. 98 Incorrect Tool Prediction % n Errors increase 8 ≤ D ≤ 11 Errors saturate D ≥ 12 n Worst-case prediction error = 6. 13% n 5. 27 -22 -

Q 2: How Should The Tool Be Driven? n Methodology – Determine the smallest

Q 2: How Should The Tool Be Driven? n Methodology – Determine the smallest and largest values of parameters that deliver desired outcome Max Skew (ps) Max Delay (ns) Max Buffer Transition (ps) Skew (ps) Tool. A Tool. B 5 N N N 25 10 - 25 25 - 50 1. 0 - 1. 75 1. 5 - 2. 50 275 - 450 300 - 475 50 10 - 50 25 - 100 1. 0 - 2. 0 1. 5 - 1. 75 275 - 575 300 - X 100 10 -100 40 - 115 1. 0 - X 1. 5 - X 300 - X 200 10 - 100 45 - 115 1. 0 - X 1. 5 - X 300 - X 500 10 - 100 45 - 115 1. 0 - X 1. 5 - X 300 - X Parameter subspaces for tools N – infeasible X - unbounded -23 -

Q 3: How Wrong Can The Guidance Be? n Methodology – Compare model and

Q 3: How Wrong Can The Guidance Be? n Methodology – Compare model and actual outcomes of tools – If model is wrong, Power Tool. A Tool. B D SVM SUB 8 5. 38 5. 89 5. 22 9. 08 9 5. 38 9. 07 5. 24 9. 07 10 5. 78 9. 2 5. 67 9. 22 11 5. 8 8. 25 6. 04 8. 96 12 5. 8 6. 45 6. 22 8. 93 13 5. 81 3. 12 6. 22 8. 93 Wrong guidance % and suboptimality % n Suboptimality ≤ 10% -24 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -25 -

Validation on “New” CTS Instance n n How well does our prediction methodologies generalize?

Validation on “New” CTS Instance n n How well does our prediction methodologies generalize? Goals – Apply methodologies to a new CTS instance – Obtain skew target ≤ 30 ps n Determine parameter values from subspace results of Q 2 Max Skew Max Delay Max Buffer (ps) (ns) Transition (ps) 15 1. 1 325 35 1. 6 350 n n CTS Tool. A Tool. B Post-CTS Skew (ps) 29. 8 27. 7 Number of CTS runs 4 5 Generalizes with small overhead Few CTS runs to deliver the desired outcome -26 -

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique

Outline n Challenges n Testcase generation n Design of experiments n New estimation technique n Prediction methodologies n Validation of our methodologies n Conclusions -27 -

Conclusions n Study high-D CTS prediction with appropriate modeling parameters n n Generate testcases

Conclusions n Study high-D CTS prediction with appropriate modeling parameters n n Generate testcases with real-world CTS structures Propose HHSM to limit error to ≤ 13% even with multicollinearity n Develop methodologies for practical use models n Ongoing work – Learning techniques to cure high-D multicollinearity – Methodologies to characterize EDA tools – Apply methodologies to reduce time and cost for IC implementation -28 -

Acknowledgments n Work supported by NSF, MARCO/DARPA, SRC and Qualcomm Inc. -29 -

Acknowledgments n Work supported by NSF, MARCO/DARPA, SRC and Qualcomm Inc. -29 -

Thank You! -30 -

Thank You! -30 -

Backup -31 -

Backup -31 -

Brief Background on Metamodeling n General form of estimation Predicted response deterministic response Random

Brief Background on Metamodeling n General form of estimation Predicted response deterministic response Random noise function where, Regression coefficients -32 -

Regression Function: MARS where, Ii : # interactions in the ith basis function bji:

Regression Function: MARS where, Ii : # interactions in the ith basis function bji: ± 1 xv: vth parameter tji: knot location Knot = value of parameter where line segment changes slope -33 -

Regression Function: RBF where, aj: coefficients of the kernel function K(. ): kernel function

Regression Function: RBF where, aj: coefficients of the kernel function K(. ): kernel function µj: centroid rj : scaling factors -34 -

Regression Function: KG n -35 -

Regression Function: KG n -35 -

Hybrid Surrogate Modeling (HSM) n Variant of Weighted Surrogate Modeling but uses leastsquares regression

Hybrid Surrogate Modeling (HSM) n Variant of Weighted Surrogate Modeling but uses leastsquares regression to determine weights where, w 1, 2, 3 are weights of predicted response of surrogate model for w 1 : MARS w 2 : RBF w 3 : KG -36 -