ECE 448 Lecture 13 VGA Display Part 5

  • Slides: 74
Download presentation
ECE 448 Lecture 13 VGA Display Part 5 The Complete Pong Game ECE 448

ECE 448 Lecture 13 VGA Display Part 5 The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL George Mason University

Required Reading • P. Chu, FPGA Prototyping by VHDL Examples Chapter 13. 4, The

Required Reading • P. Chu, FPGA Prototyping by VHDL Examples Chapter 13. 4, The Complete Pong Game • Source Codes of Examples http: //academic. csuohio. edu/chu_p/rtl/fpga_vhdl. html • Nexys 3 Board Reference Manual VGA Port, pages 15 -17 ECE 448 – FPGA and ASIC Design with VHDL 2

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 3

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 3

Pong Game Rules • • When the game starts it displays the text of

Pong Game Rules • • When the game starts it displays the text of the rule After a player presses a button the game starts The player scores a point each time hitting the ball with the paddle When the player misses the ball, the game pauses and the new ball is provided Three balls are provided in each session The score and the number of balls remaining are displayed at the top of the screen After 3 misses, the game ends with the end-of-game message ECE 448 – FPGA and ASIC Design with VHDL 4

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 5

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 6

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 6

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor of 2 (16 by 32) • “PONG” logo in a font scaled by a factor of 8 (64 by 128) • The rule message in regular font (8 by 16), only at the beginning of the game • The end-of-game message (“Game Over”) in a font scaled by a factor of 4 (32 by 64) ECE 448 – FPGA and ASIC Design with VHDL 7

Modifications in Graphic Subsystem • Add a gra_still (still graphics) control signal. When it

Modifications in Graphic Subsystem • Add a gra_still (still graphics) control signal. When it is asserted, the vertical bar is placed in the middle of the screen without movement. • Add hit and miss status signals. The hit signal is asserted for one clock cycle when the paddle hits the ball. The miss signal is asserted when the ball reaches the right border. ECE 448 – FPGA and ASIC Design with VHDL 8

Auxiliary Counters • m 100 count is a two-digit BCD counter counting from 00

Auxiliary Counters • m 100 count is a two-digit BCD counter counting from 00 to 99, used to keep track of the score of the game • The timer module uses the 60 Hz tick, timer_tick, to generate a 2 -second interval. Its purpose is to pause video for a small interval between transitions of the screen. ECE 448 – FPGA and ASIC Design with VHDL 9

ASM Chart ECE 448 – FPGA and ASIC Design with VHDL 10

ASM Chart ECE 448 – FPGA and ASIC Design with VHDL 10

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 11

Source Codes of all Subsystems ECE 448 – FPGA and ASIC Design with VHDL

Source Codes of all Subsystems ECE 448 – FPGA and ASIC Design with VHDL 12

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 13

ASM Chart ECE 448 – FPGA and ASIC Design with VHDL 14

ASM Chart ECE 448 – FPGA and ASIC Design with VHDL 14

Control FSM (1) -- registers process (clk, reset) begin if reset='1' then state_reg <=

Control FSM (1) -- registers process (clk, reset) begin if reset='1' then state_reg <= newgame; ball_reg <= (others=>'0'); elsif (clk'event and clk='1') then state_reg <= state_next; ball_reg <= ball_next; end if; end process; ECE 448 – FPGA and ASIC Design with VHDL 15

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 16

Control FSM (2) process(btn, hit, miss, timer_up, state_reg, ball_reg) begin gra_still <= '1'; timer_start

Control FSM (2) process(btn, hit, miss, timer_up, state_reg, ball_reg) begin gra_still <= '1'; timer_start <='0'; d_inc <= '0'; d_clr <= '0'; state_next <= state_reg; ball_next <= ball_reg; ECE 448 – FPGA and ASIC Design with VHDL 17

Control FSM (3) case state_reg is when newgame => ball_next <= "11"; -- three

Control FSM (3) case state_reg is when newgame => ball_next <= "11"; -- three balls d_clr <= '1'; -- clear score if (btn /= "00") then -- button pressed state_next <= play; ball_next <= ball_reg - 1; end if; ECE 448 – FPGA and ASIC Design with VHDL 18

Control FSM (4) when play => gra_still <= '0'; -- animated screen if hit='1'

Control FSM (4) when play => gra_still <= '0'; -- animated screen if hit='1' then d_inc <= '1'; -- increment score elsif miss='1' then if (ball_reg=0) then state_next <= over; else state_next <= newball; end if; timer_start <= '1'; -- 2 sec timer ball_next <= ball_reg - 1; end if; ECE 448 – FPGA and ASIC Design with VHDL 19

Control FSM (5) when newball => -- wait for 2 sec and until button

Control FSM (5) when newball => -- wait for 2 sec and until button pressed if timer_up='1' and (btn /= "00") then state_next <= play; end if; when over => -- wait for 2 sec to display game over if timer_up='1' then state_next <= newgame; end if; end case; end process; ECE 448 – FPGA and ASIC Design with VHDL 20

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 21

Counters timer_unit: entity work. timer port map(clk=>clk, reset=>reset, timer_tick=>timer_tick, timer_start=>timer_start, timer_up=>timer_up); -- instantiate 2

Counters timer_unit: entity work. timer port map(clk=>clk, reset=>reset, timer_tick=>timer_tick, timer_start=>timer_start, timer_up=>timer_up); -- instantiate 2 -digit decade counter_unit: entity work. m 100_counter port map(clk=>clk, reset=>reset, d_inc=>d_inc, d_clr=>d_clr, dig 0=>dig 0, dig 1=>dig 1); ECE 448 – FPGA and ASIC Design with VHDL 22

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 23

Two-digit BCD counter in VHDL (1) library ieee; use ieee. std_logic_1164. all; use ieee.

Two-digit BCD counter in VHDL (1) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; entity m 100_counter is port( clk, reset: in std_logic; d_inc, d_clr: in std_logic; dig 0, dig 1: out std_logic_vector (3 downto 0) ); end m 100_counter; ECE 448 – FPGA and ASIC Design with VHDL 24

Two-digit BCD counter in VHDL (2) architecture arch of m 100_counter is signal dig

Two-digit BCD counter in VHDL (2) architecture arch of m 100_counter is signal dig 0_reg, dig 1_reg: unsigned(3 downto 0); signal dig 0_next, dig 1_next: unsigned(3 downto 0); begin -- registers process (clk, reset) begin if reset='1' then dig 1_reg <= (others=>'0'); dig 0_reg <= (others=>'0'); elsif (clk'event and clk='1') then dig 1_reg <= dig 1_next; dig 0_reg <= dig 0_next; end if; end process; ECE 448 – FPGA and ASIC Design with VHDL 25

Two-digit BCD counter in VHDL (3) process(d_clr, d_inc, dig 1_reg, dig 0_reg) begin dig

Two-digit BCD counter in VHDL (3) process(d_clr, d_inc, dig 1_reg, dig 0_reg) begin dig 0_next <= dig 0_reg; dig 1_next <= dig 1_reg; if (d_clr='1') then dig 0_next <= (others=>'0'); dig 1_next <= (others=>'0'); elsif (d_inc='1') then if dig 0_reg=9 then dig 0_next <= (others=>'0'); if dig 1_reg=9 then -- 10 th digit dig 1_next <= (others=>'0'); else dig 1_next <= dig 1_reg + 1; end if; else -- dig 0 not 9 dig 0_next <= dig 0_reg + 1; end if; end process; ECE 448 – FPGA and ASIC Design with VHDL 26

Two-digit BCD counter in VHDL (4) dig 0 <= std_logic_vector(dig 0_reg); dig 1 <=

Two-digit BCD counter in VHDL (4) dig 0 <= std_logic_vector(dig 0_reg); dig 1 <= std_logic_vector(dig 1_reg); end arch; ECE 448 – FPGA and ASIC Design with VHDL 27

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 28

Two-second Timer in VHDL (1) use ieee. std_logic_1164. all; use ieee. numeric_std. all; entity

Two-second Timer in VHDL (1) use ieee. std_logic_1164. all; use ieee. numeric_std. all; entity timer is port( clk, reset: in std_logic; timer_start, timer_tick: in std_logic; timer_up: out std_logic ); end timer; ECE 448 – FPGA and ASIC Design with VHDL 29

Two-second Timer in VHDL (2) architecture arch of timer is signal timer_reg, timer_next: unsigned(6

Two-second Timer in VHDL (2) architecture arch of timer is signal timer_reg, timer_next: unsigned(6 downto 0); begin -- registers process (clk, reset) begin if reset='1' then timer_reg <= (others=>'1'); elsif (clk'event and clk='1') then timer_reg <= timer_next; end if; end process; ECE 448 – FPGA and ASIC Design with VHDL 30

Two-second Timer in VHDL (3) -- next-state logic process(timer_start, timer_reg, timer_tick) begin if (timer_start='1')

Two-second Timer in VHDL (3) -- next-state logic process(timer_start, timer_reg, timer_tick) begin if (timer_start='1') then timer_next <= (others=>'1'); elsif timer_tick='1' and timer_reg/=0 then timer_next <= timer_reg - 1; else timer_next <= timer_reg; end if; end process; timer_up <='1' when timer_reg=0 else '0'; end arch; ECE 448 – FPGA and ASIC Design with VHDL 31

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 32

text_on • text_on(3) – Score: DD Ball: D • text_on(2) - PONG • text_on(1)

text_on • text_on(3) – Score: DD Ball: D • text_on(2) - PONG • text_on(1) – Rule: Use two buttons to move paddle up and down • text_on(0) – Game Over ECE 448 – FPGA and ASIC Design with VHDL 33

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 34

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 34

rgb mux (1) process(state_reg, video_on, graph_rgb, text_on, text_rgb) begin if video_on='0' then rgb_next <=

rgb mux (1) process(state_reg, video_on, graph_rgb, text_on, text_rgb) begin if video_on='0' then rgb_next <= "000"; -- blank the edge/retrace else -- display score, rule or game over if (text_on(3)='1') or -- score (state_reg=newgame and text_on(1)='1') or -- rule (state_reg=over and text_on(0)='1') then -- over rgb_next <= text_rgb; elsif graph_on='1' then -- display graph rgb_next <= graph_rgb; ECE 448 – FPGA and ASIC Design with VHDL 35

rgb mux (2) elsif text_on(2)='1' then -- display logo rgb_next <= text_rgb; else rgb_next

rgb mux (2) elsif text_on(2)='1' then -- display logo rgb_next <= text_rgb; else rgb_next <= "110"; -- yellow background end if; end process; rgb <= rgb_reg; end arch; ECE 448 – FPGA and ASIC Design with VHDL 36

rgb mux (3) -- registers process (clk, reset) begin if reset='1' then rgb_reg <=

rgb mux (3) -- registers process (clk, reset) begin if reset='1' then rgb_reg <= (others=>'0'); elsif (clk'event and clk='1') then if (pixel_tick='1') then rgb_reg <= rgb_next; end if; end process; ECE 448 – FPGA and ASIC Design with VHDL 37

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 38

Text Subsystem in VHDL (1) library ieee; use ieee. std_logic_1164. ALL; use ieee. numeric_std.

Text Subsystem in VHDL (1) library ieee; use ieee. std_logic_1164. ALL; use ieee. numeric_std. ALL; entity pong_text is port( clk, reset: in std_logic; pixel_x, pixel_y: in std_logic_vector(9 downto 0); dig 0, dig 1: in std_logic_vector(3 downto 0); ball: in std_logic_vector(1 downto 0); text_on: out std_logic_vector(3 downto 0); text_rgb: out std_logic_vector(2 downto 0) ); end pong_text; ECE 448 – FPGA and ASIC Design with VHDL 39

Text Subsystem in VHDL (2) architecture arch of pong_text is signal pix_x, pix_y: unsigned(9

Text Subsystem in VHDL (2) architecture arch of pong_text is signal pix_x, pix_y: unsigned(9 downto 0); signal rom_addr: std_logic_vector(10 downto 0); signal char_addr, char_addr_s, char_addr_l, char_addr_r, char_addr_o: std_logic_vector(6 downto 0); signal row_addr, row_addr_s, row_addr_l, row_addr_r, row_addr_o: std_logic_vector(3 downto 0); signal bit_addr, bit_addr_s, bit_addr_l, bit_addr_r, bit_addr_o: std_logic_vector(2 downto 0); signal font_word: std_logic_vector(7 downto 0); signal font_bit: std_logic; signal score_on, logo_on, rule_on, over_on: std_logic; signal rule_rom_addr: unsigned(5 downto 0); type rule_rom_type is array (0 to 63) of std_logic_vector (6 downto 0); ECE 448 – FPGA and ASIC Design with VHDL 40

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 41

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 41

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor of 2 (16 by 32) • “PONG” logo in a font scaled by a factor of 8 (64 by 128) • The rule message in regular font (8 by 16), only at the beginning of the game • The end-of-game message (“Game Over”) in a font scaled by a factor of 4 (32 by 64) ECE 448 – FPGA and ASIC Design with VHDL 42

Text Subsystem in VHDL (3) begin pix_x <= unsigned(pixel_x); pix_y <= unsigned(pixel_y); -- instantiate

Text Subsystem in VHDL (3) begin pix_x <= unsigned(pixel_x); pix_y <= unsigned(pixel_y); -- instantiate font rom font_unit: entity work. font_rom port map(clk=>clk, addr=>rom_addr, data=>font_word); ECE 448 – FPGA and ASIC Design with VHDL 43

Text Subsystem in VHDL (4) ----------------------- score region -- - display two-digit score, ball

Text Subsystem in VHDL (4) ----------------------- score region -- - display two-digit score, ball on top left -- - scale to 16 -by-32 font -- - line 1, 16 chars: "Score: DD Ball: D" ----------------------score_on <= '1' when pix_y(9 downto 5)=0 and pix_x(9 downto 4)<16 else '0'; row_addr_s <= std_logic_vector(pix_y(4 downto 1)); bit_addr_s <= std_logic_vector(pix_x(3 downto 1)); ECE 448 – FPGA and ASIC Design with VHDL 44

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor

Text Subsystem • “Score: DD Ball: D” in a font scaled by a factor of 2 (16 by 32) ECE 448 – FPGA and ASIC Design with VHDL 45

ASCII Code ECE 448 – FPGA and ASIC Design with VHDL 46

ASCII Code ECE 448 – FPGA and ASIC Design with VHDL 46

Generating ASCII codes of digits with pix_x(7 downto 4) select char_addr_s <= "1010011" when

Generating ASCII codes of digits with pix_x(7 downto 4) select char_addr_s <= "1010011" when "0000”, "1100011" when "0001", "1101111" when "0010", "1110010" when "0011", "1100101" when "0100", "0111010" when "0101", "011" & dig 1 when "0110", "011" & dig 0 when "0111", "0000000" when "1000", "0000000" when "1001", "1000010" when "1010", "1100001" when "1011", "1101100" when "1100", "1101100" when "1101", "0111010" when "1110", "01100" & ball when others; -- S x 53 -- c x 63 -- o x 6 f -- r x 72 -- e x 65 -- : x 3 a -- digit 10 -- digit 1 -- B x 42 -- a x 61 -- l x 6 c -- : -- number of remaining balls [0. . 3] ECE 448 – FPGA and ASIC Design with VHDL 47

Text Subsystem in VHDL (5) ----------------------- logo region: -- - display logo "PONG" on

Text Subsystem in VHDL (5) ----------------------- logo region: -- - display logo "PONG" on top center -- - used as background -- - scale to 64 -by-128 font ----------------------logo_on <= '1' when pix_y(9 downto 7)=2 and (3<= pix_x(9 downto 6) and pix_x(9 downto 6)<=6) else '0'; row_addr_l <= std_logic_vector(pix_y(6 downto 3)); bit_addr_l <= std_logic_vector(pix_x(5 downto 3)); ECE 448 – FPGA and ASIC Design with VHDL 48

Text Subsystem • “PONG” logo in a font scaled by a factor of 8

Text Subsystem • “PONG” logo in a font scaled by a factor of 8 (64 by 128) ECE 448 – FPGA and ASIC Design with VHDL 49

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 50

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 50

Text Subsystem in VHDL (6) with pix_x(8 downto 6) select char_addr_l <= "1010000" when

Text Subsystem in VHDL (6) with pix_x(8 downto 6) select char_addr_l <= "1010000" when "011", "1001111" when "100", "1001110" when "101", "1000111" when others; -- P x 50 -- O x 4 f -- N x 4 e --G x 47 ECE 448 – FPGA and ASIC Design with VHDL 51

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 52

The Complete Pong Game ECE 448 – FPGA and ASIC Design with VHDL 52

Text Subsystem • The rule message in regular font (8 by 16), only at

Text Subsystem • The rule message in regular font (8 by 16), only at the beginning of the game ECE 448 – FPGA and ASIC Design with VHDL 53

Text Subsystem in VHDL (7) constant RULE_ROM: rule_rom_type : = ( -- row 1

Text Subsystem in VHDL (7) constant RULE_ROM: rule_rom_type : = ( -- row 1 "1010010", -- R "1010101", -- U "1001100", -- L "1000101", -- E "0111010", -- : "0000000", -"0000000", -"0000000", -"0000000", -ECE 448 – FPGA and ASIC Design with VHDL 54

Text Subsystem in VHDL (8) -- row 2 "1010101", -- U "1110011", -- s

Text Subsystem in VHDL (8) -- row 2 "1010101", -- U "1110011", -- s "1100101", -- e "0000000", -"1110100", -- t "1110111", -- w "1101111", -- o "0000000", -"1100010", -- b "1110101", -- u "1110100", -- t "1101111", -- o "1101110", -- n "1110011", -- s "0000000", -- ECE 448 – FPGA and ASIC Design with VHDL 55

Text Subsystem in VHDL (9) -- row 3 "1110100", -- t "1101111", -- o

Text Subsystem in VHDL (9) -- row 3 "1110100", -- t "1101111", -- o "0000000", -"1101101", -- m "1101111", -- o "1110110", -- v "1100101", -- e "0000000", -"1110000", -- p "1100001", -- a "1100100", -- d "1101100", -- l "1100101", -- e "0000000", -- ECE 448 – FPGA and ASIC Design with VHDL 56

Text Subsystem in VHDL (10) -- row 4 "1110101", -- u "1110000", -- p

Text Subsystem in VHDL (10) -- row 4 "1110101", -- u "1110000", -- p "0000000", -"1100001", -- a "1101110", -- n "1100100", -- d "0000000", -"1100100", -- d "1101111", -- o "1110111", -- w "1101110", -- n "0101110", --. "0000000", -"0000000", -"0000000" -); ECE 448 – FPGA and ASIC Design with VHDL 57

Text Subsystem in VHDL (11) -- rule region -- - display rule (4 -by-16

Text Subsystem in VHDL (11) -- rule region -- - display rule (4 -by-16 tiles) on center -- - rule text: -Rule: -Use two buttons -to move paddle -up and down ----------------------rule_on <= '1' when pix_x(9 downto 7) = "010" and pix_y(9 downto 6)= "0010" else '0'; row_addr_r <= std_logic_vector(pix_y(3 downto 0)); bit_addr_r <= std_logic_vector(pix_x(2 downto 0)); rule_rom_addr <= pix_y(5 downto 4) & pix_x(6 downto 3); char_addr_r <= RULE_ROM(to_integer(rule_rom_addr)); ECE 448 – FPGA and ASIC Design with VHDL 58

Text Subsystem • The end-of-game message (“Game Over”) in a font scaled by a

Text Subsystem • The end-of-game message (“Game Over”) in a font scaled by a factor of 4 (32 by 64) ECE 448 – FPGA and ASIC Design with VHDL 59

Text Subsystem in VHDL (12) ----------------------- game over region -- - display "Game Over"

Text Subsystem in VHDL (12) ----------------------- game over region -- - display "Game Over" on center -- - scale to 32 -by-64 fonts ----------------------over_on <= '1' when pix_y(9 downto 6)=3 and 5<= pix_x(9 downto 5) and pix_x(9 downto 5)<=13 else '0'; row_addr_o <= std_logic_vector(pix_y(5 downto 2)); bit_addr_o <= std_logic_vector(pix_x(4 downto 2)); ECE 448 – FPGA and ASIC Design with VHDL 60

Text Subsystem in VHDL (13) with pix_x(8 downto 5) select char_addr_o <= "1000111" when

Text Subsystem in VHDL (13) with pix_x(8 downto 5) select char_addr_o <= "1000111" when "0101", -- G x 47 "1100001" when "0110", -- a x 61 "1101101" when "0111", -- m x 6 d "1100101" when "1000", -- e x 65 "0000000" when "1001", -"1001111" when "1010", -- O x 4 f "1110110" when "1011", -- v x 76 "1100101" when "1100", -- e x 65 "1110010" when others; -- r x 72 ECE 448 – FPGA and ASIC Design with VHDL 61

Text Subsystem in VHDL (14) -- mux for font ROM addresses and rgb process(score_on,

Text Subsystem in VHDL (14) -- mux for font ROM addresses and rgb process(score_on, logo_on, rule_on, pix_x, pix_y, font_bit, char_addr_s, char_addr_l, char_addr_r, char_addr_o, row_addr_s, row_addr_l, row_addr_r, row_addr_o, bit_addr_s, bit_addr_l, bit_addr_r, bit_addr_o) begin text_rgb <= "110"; -- background, yellow if score_on='1' then char_addr <= char_addr_s; row_addr <= row_addr_s; bit_addr <= bit_addr_s; if font_bit='1' then text_rgb <= "001"; -- blue end if; ECE 448 – FPGA and ASIC Design with VHDL 62

Text Subsystem in VHDL (15) elsif rule_on='1' then char_addr <= char_addr_r; row_addr <= row_addr_r;

Text Subsystem in VHDL (15) elsif rule_on='1' then char_addr <= char_addr_r; row_addr <= row_addr_r; bit_addr <= bit_addr_r; if font_bit='1' then text_rgb <= "001"; -- blue end if; elsif logo_on='1' then char_addr <= char_addr_l; row_addr <= row_addr_l; bit_addr <= bit_addr_l; if font_bit='1' then text_rgb <= "011"; -- cyan end if; ECE 448 – FPGA and ASIC Design with VHDL 63

Text Subsystem in VHDL (16) else -- game over char_addr <= char_addr_o; row_addr <=

Text Subsystem in VHDL (16) else -- game over char_addr <= char_addr_o; row_addr <= row_addr_o; bit_addr <= bit_addr_o; if font_bit='1' then text_rgb <= "001"; end if; end process; text_on <= score_on & logo_on & rule_on & over_on; ECE 448 – FPGA and ASIC Design with VHDL 64

Text Subsystem in VHDL (17) ----------------------- font rom interface ----------------------rom_addr <= char_addr & row_addr;

Text Subsystem in VHDL (17) ----------------------- font rom interface ----------------------rom_addr <= char_addr & row_addr; font_bit <= font_word(to_integer(unsigned(not bit_addr))); end arch; ECE 448 – FPGA and ASIC Design with VHDL 65

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 66

Modifications in VHDL (1) -- new ball position ball_x_next <= to_unsigned((MAX_X)/2, 10) when gra_still='1'

Modifications in VHDL (1) -- new ball position ball_x_next <= to_unsigned((MAX_X)/2, 10) when gra_still='1' else ball_x_reg + ball_vx_reg when refr_tick='1' else ball_x_reg ; ball_y_next <= to_unsigned((MAX_Y)/2, 10) when gra_still='1' else ball_y_reg + ball_vy_reg when refr_tick='1' else ball_y_reg ; ECE 448 – FPGA and ASIC Design with VHDL 67

Modifications in VHDL (2) process(ball_vx_reg, ball_vy_reg, ball_y_t, ball_x_l, ball_x_r, ball_y_t, ball_y_b, bar_y_t, bar_y_b, gra_still)

Modifications in VHDL (2) process(ball_vx_reg, ball_vy_reg, ball_y_t, ball_x_l, ball_x_r, ball_y_t, ball_y_b, bar_y_t, bar_y_b, gra_still) begin hit <='0'; miss <='0'; ball_vx_next <= ball_vx_reg; ball_vy_next <= ball_vy_reg; if gra_still='1' then --initial velocity ball_vx_next <= BALL_V_N; ball_vy_next <= BALL_V_P; elsif ball_y_t < 1 then -- reach top ball_vy_next <= BALL_V_P; elsif ball_y_b > (MAX_Y-1) then -- reach bottom ball_vy_next <= BALL_V_N; ECE 448 – FPGA and ASIC Design with VHDL 68

Modifications in VHDL (3) elsif ball_x_l <= WALL_X_R then -- reach wall ball_vx_next <=

Modifications in VHDL (3) elsif ball_x_l <= WALL_X_R then -- reach wall ball_vx_next <= BALL_V_P; -- bounce back elsif (BAR_X_L<=ball_x_r) and (ball_x_r<=BAR_X_R) and (bar_y_t<=ball_y_b) and (ball_y_t<=bar_y_b) then -- reach x of right bar, a hit ball_vx_next <= BALL_V_N; -- bounce back hit <= '1'; elsif (ball_x_r > MAX_X) then -- reach right border miss <= '1'; -- a miss end if; end process; …… graph_on <= wall_on or bar_on or rd_ball_on; ECE 448 – FPGA and ASIC Design with VHDL 69

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL

The Complete Pong Game Circuit ECE 448 – FPGA and ASIC Design with VHDL 70

Top-level System (1) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; entity

Top-level System (1) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; entity pong_top is port( clk, reset: in std_logic; btn: in std_logic_vector (1 downto 0); hsync, vsync: out std_logic; rgb: out std_logic_vector (2 downto 0) ); end pong_top; ECE 448 – FPGA and ASIC Design with VHDL 71

Top-level System (2) architecture arch of pong_top is type state_type is (newgame, play, newball,

Top-level System (2) architecture arch of pong_top is type state_type is (newgame, play, newball, over); signal video_on, pixel_tick: std_logic; signal pixel_x, pixel_y: std_logic_vector (9 downto 0); signal graph_on, gra_still, hit, miss: std_logic; signal text_on: std_logic_vector(3 downto 0); signal graph_rgb, text_rgb: std_logic_vector(2 downto 0); signal rgb_reg, rgb_next: std_logic_vector(2 downto 0); signal state_reg, state_next: state_type; signal dig 0, dig 1: std_logic_vector(3 downto 0); signal d_inc, d_clr: std_logic; signal timer_tick, timer_start, timer_up: std_logic; signal ball_reg, ball_next: unsigned(1 downto 0); signal ball: std_logic_vector(1 downto 0); ECE 448 – FPGA and ASIC Design with VHDL 72

Top-level System (3) begin -- instantiate video synchonization unit vga_sync_unit: entity work. vga_sync port

Top-level System (3) begin -- instantiate video synchonization unit vga_sync_unit: entity work. vga_sync port map(clk=>clk, reset=>reset, hsync=>hsync, vsync=>vsync, pixel_x=>pixel_x, pixel_y=>pixel_y, video_on=>video_on, p_tick=>pixel_tick); -- instantiate text module ball <= std_logic_vector(ball_reg); --type conversion text_unit: entity work. pong_text port map(clk=>clk, reset=>reset, pixel_x=>pixel_x, pixel_y=>pixel_y, dig 0=>dig 0, dig 1=>dig 1, ball=>ball, text_on=>text_on, text_rgb=>text_rgb); ECE 448 – FPGA and ASIC Design with VHDL 73

Top-level System (4) -- instantiate graph module graph_unit: entity work. pong_graph port map(clk=>clk, reset=>reset,

Top-level System (4) -- instantiate graph module graph_unit: entity work. pong_graph port map(clk=>clk, reset=>reset, btn=>btn, pixel_x=>pixel_x, pixel_y=>pixel_y, gra_still=>gra_still, hit=>hit, miss=>miss, graph_on=>graph_on, rgb=>graph_rgb); -- instantiate 2 sec timer_tick <= -- 60 Hz tick '1' when pixel_x="00000" and pixel_y="00000" else '0'; ECE 448 – FPGA and ASIC Design with VHDL 74