Concatenation architecture rtl of ex is signal a












- Slides: 12

Concatenation-Συνένωση Ø Το σύμβολο & χρησιμοποιείται για ενοποίηση διανυσμάτων architecture rtl of ex is signal a : std_logic_vector (5 downto 0); signal b, c, d : std_logic_vector (2 downto 0); Begin b <= ‘ 0’ & c(1) & d(2) ; -Εάν c = “ 011” και d = “ 101” τότε a <= c & d; b = “ 011”, a = “ 011101” End ; architecture rtl of ex is signal a : std_logic_vector (2 downto 0); signal b : std_logic_vector (3 downto 0); -- ΛΑΘΟΣ!!! Begin Διανύσματα διαφορετικού εύρους 0 & a <=b ; Αποφυγή χρήση & στο αριστερό τμήμα End ; ανάθεσης σήματος 1

Τελεστές Standard VHDL (1/2) 2

Τελεστές Standard VHDL (2/2) 3


Συναρτήσεις Μετατροπής – std_logic_1164 signal s 1, s 2, s 3: std_logic_vector (7 downto 0); signal b 1, b 2 : bit_vector (7 downto 0); ----- Μη επιτρεπτές αναθέσεις λόγω ασυμφωνίας τύπων ---s 1<= b 1; b 2<= s 1 and s 2; s 3 <= b 1 or s 2; ----- Χρήση συναρτήσεων μετατροπής -------------s 1<= to_stdlogicvector (b 1); b 2<= to_bitvector (s 1 and s 2); s 3 <= to_stdlogicvector (b 1) or s 2; s 3 <= to_stdlogicvector (b 1 or to_bitvector (s 2)); 6

Numeric_std Package Ø IEEE numeric_std package: – – – Ορίζει τους integer ως πίνακες τύπου std_logic Ορίζει δύο νέους τύπους δεδομένων unsigned, signed Οι πίνακες αντιμετωπίζονται ως unsigned, signed δυαδικοί αριθμοί signal x, y: signed (15 downto 0); Δήλωση χρήσης πακέτου library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; 7



Μετατροπές Τύπων Δεδομένων (1/4) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; . . . signal s 1, s 2, s 3, s 4, s 5, std_logic_vector (3 downto 0); s 6: signal u 1, u 2, u 3, u 4, u 6, u 7: unsigned (3 downto 0); signal sg: signed (3 downto 0); u 3 <= u 2 + u 1; --- ok, all unsigned u 4 <= u 2 + 1; --- ok, both unsigned & nat ---ΛΑΘΟΣ---u 5 <= sg; --- ασυφμωνία τύπων δεδ. u 6 <= 5; --- ασυφμωνία τύπων δεδ. --- Διόρθωση --u 5 <= unsigned (sg); u 6 <= to_unsigned (5, 4); ----------------ΛΑΘΟΣ---s 5 <= s 2 + s 1; ---+ δεν ορίζεται στον τύπο std_logic_vector s 6 <= s 2 + 1; --- + undefined --- Διόρθωση --s 5 <= std_logic_vector (unsigned(s 2) + unsigned(s 1)); s 6 <= std_logic_vector(unsigned(s 2) + 1); 10

Μετατροπές Τύπων Δεδομένων (2/4) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; . . . ---ΛΑΘΟΣ---u 7 <= sg + u 1; --- + μη ορισμένο στους δύο τυπους u 7 <= unsigned (sg) + u 1; --- ok ---ΛΑΘΟΣ---- signal s 1, s 2, s 3, s 4, s 5, s 6: std_logic_vector (3 downto 0); s 3 <= u 3; --- ασυφμωνία τύπων δεδ. signal u 1, u 2, u 3, u 4, u 6, u 7: unsigned (3 downto 0); -------Διόρθωση----------- signal sg: signed (3 downto 0); s 4 <= 5; --- ασυφμωνία τύπων δεδ. s 3 <= std_logic_vector (u 3); s 4<=std_logic_vector(to_unsigned (5, 4)); 11

Μετατροπές Τύπων Δεδομένων (3/4) library ieee; use ieee. std_logic_1164. all; use ieee. numeric_std. all; . . . signal s 1, s 2, s 3, s 4, s 5, s 6: std_logic_vector (3 downto 0); signal u 1, u 2, u 3, u 4, u 6, u 7: unsigned (3 downto 0); signal sg: signed (3 downto 0); ---ΛΑΘΟΣ---u 7 <= sg + u 1; --- + μη ορισμένο στους δύο τυπους u 7 <= unsigned (sg) + u 1; --- ok ---ΛΑΘΟΣ---s 3 <= u 3; -- ασυφμωνία τύπων δεδ. s 4 <= 5; -- ασυφμωνία τύπων δεδ. s 3 <= std_logic_vector (u 3); --- ok s 4 <= std_logic_vector (to_unsigned (5, 4)); u 3 <= u 2 + u 1; --- ok, both unsigned u 4 <= u 2 + 1; --- ok, both unsigned & nat ---ΛΑΘΟΣ---u 5 <= sg; --- ασυφμωνία τύπων δεδ. u 6 <= 5; --- ασυφμωνία τύπων δεδ. --- Διόρθωση --u 5 <= unsigned (sg); u 6 <= to_unsigned (5, 4); Ø ---ΛΑΘΟΣ---Ø s 5 <= s 2 + s 1; + δεν ορίζεται στον τύπο std_logic_vector Ø s 6 <= s 2 + 1; + undefined Ø --- Διόρθωση --Ø s 5 <= std_logic_vector (unsigned (s 2) + unsigned (s 1)); Ø s 6 <= std_logic_vector (unsigned (s 2) + 1); 12
