18 740640 Computer Architecture Lecture 17 Heterogeneous Systems

  • Slides: 111
Download presentation
18 -740/640 Computer Architecture Lecture 17: Heterogeneous Systems Prof. Onur Mutlu Carnegie Mellon University

18 -740/640 Computer Architecture Lecture 17: Heterogeneous Systems Prof. Onur Mutlu Carnegie Mellon University Fall 2015, 11/9/2015

Required Readings Ø Required Reading Assignment: • Suleman et al. , “Accelerating Critical Section

Required Readings Ø Required Reading Assignment: • Suleman et al. , “Accelerating Critical Section Execution with Asymmetric Multi-Core Architectures, ” ASPLOS 2009. Ø Recommended References: • Joao et al. , “Bottleneck Identification and Scheduling in Multithreaded Applications, ” ASPLOS 2012. • Gorchowski et al. , “Best of Both Latency and Throughput, ” ICCD 2004. 2

Heterogeneity (Asymmetry) 3

Heterogeneity (Asymmetry) 3

Heterogeneity (Asymmetry) Specialization n Heterogeneity and asymmetry have the same meaning q n n

Heterogeneity (Asymmetry) Specialization n Heterogeneity and asymmetry have the same meaning q n n n Contrast with homogeneity and symmetry Heterogeneity is a very general system design concept (and life concept, as well) Idea: Instead of having multiple instances of the same “resource” to be the same (i. e. , homogeneous or symmetric), design some instances to be different (i. e. , heterogeneous or asymmetric) Different instances can be optimized to be more efficient in executing different types of workloads or satisfying different requirements/goals q Heterogeneity enables specialization/customization 4

Why Asymmetry in Design? (I) n Different workloads executing in a system can have

Why Asymmetry in Design? (I) n Different workloads executing in a system can have different behavior q q n Different applications can have different behavior Different execution phases of an application can have different behavior The same application executing at different times can have different behavior (due to input set changes and dynamic events) E. g. , locality, predictability of branches, instruction-level parallelism, data dependencies, serial fraction, bottlenecks in parallel portion, interference characteristics, … Systems are designed to satisfy different metrics at the same time q q There is almost never a single goal in design, depending on design point E. g. , Performance, energy efficiency, fairness, predictability, reliability, availability, cost, memory capacity, latency, bandwidth, … 5

Why Asymmetry in Design? (II) n Problem: Symmetric design is one-size-fits-all It tries to

Why Asymmetry in Design? (II) n Problem: Symmetric design is one-size-fits-all It tries to fit a single-size design to all workloads and metrics n It is very difficult to come up with a single design n q q n that satisfies all workloads even for a single metric that satisfies all design metrics at the same time This holds true for different system components, or resources q q Cores, caches, memory, controllers, interconnect, disks, servers, … Algorithms, policies, … 6

Asymmetry Enables Customization C C C 2 C 1 C C C C 4

Asymmetry Enables Customization C C C 2 C 1 C C C C 4 C 4 C C C 5 C 5 C 5 Symmetric n Asymmetric Symmetric: One size fits all q n C 3 C Energy and performance suboptimal for different “workload” behaviors Asymmetric: Enables customization and adaptation q q Processing requirements vary across workloads (applications and phases) Execute code on best-fit resources (minimal energy, adequate perf. ) 7

We Have Already Seen Examples (Before) n n n n CRAY-1 design: scalar +

We Have Already Seen Examples (Before) n n n n CRAY-1 design: scalar + vector pipelines Modern processors: scalar instructions + SIMD extensions Decoupled Access Execute: access + execute processors Thread Cluster Memory Scheduling: different memory scheduling policies for different thread clusters RAIDR: Heterogeneous refresh rates in DRAM Heterogeneous-Latency DRAM (Tiered Latency DRAM) Hybrid memory systems q q q DRAM + Phase Change Memory Fast, Costly DRAM + Slow, Cheap DRAM Reliable, Costly DRAM + Unreliable, Cheap DRAM 8

An Example Asymmetric Design: CRAY-1 n n n n Russell, “The CRAY-1 computer system,

An Example Asymmetric Design: CRAY-1 n n n n Russell, “The CRAY-1 computer system, ” CACM 1978. Scalar and vector modes 8 64 -element vector registers 64 bits per element 16 memory banks 8 64 -bit scalar registers 8 24 -bit address registers 9

Remember: Hybrid Memory Systems CPU DRAM Fast, durable Small, leaky, volatile, high-cost DRAM Ctrl

Remember: Hybrid Memory Systems CPU DRAM Fast, durable Small, leaky, volatile, high-cost DRAM Ctrl PCM Ctrl Phase Change Memory (or Tech. X) Large, non-volatile, low-cost Slow, wears out, high active energy Hardware/software manage data allocation and movement to achieve the best of multiple technologies Meza+, “Enabling Efficient and Scalable Hybrid Memories, ” IEEE Comp. Arch. Letters, 2012. Yoon, Meza et al. , “Row Buffer Locality Aware Caching Policies for Hybrid Memories, ” ICCD 2012 Best Paper Award.

Remember: Throughput vs. Fairness Throughput biased approach Prioritize less memory-intensive threads Fairness biased approach

Remember: Throughput vs. Fairness Throughput biased approach Prioritize less memory-intensive threads Fairness biased approach Take turns accessing memory Good for throughput Does not starve thread A less memory intensive thread B thread C higher priority starvation unfairness thread C thread A thread B not prioritized reduced throughput Single policy for all threads is insufficient Kim et al. , “Thread Cluster Memory Scheduling, ” MICRO 2010. 11

Remember: Achieving the Best of Both Worlds higher priority thread For Throughput Prioritize memory-non-intensive

Remember: Achieving the Best of Both Worlds higher priority thread For Throughput Prioritize memory-non-intensive threads thread thread For Fairness Unfairness caused by memory-intensive being prioritized over each other • Shuffle thread ranking Memory-intensive threads have different vulnerability to interference • Shuffle asymmetrically Kim et al. , “Thread Cluster Memory Scheduling, ” MICRO 2010. 12

Thread Cluster Memory Scheduling [Kim+ MICRO’ 10] 1. Group threads into two clusters 2.

Thread Cluster Memory Scheduling [Kim+ MICRO’ 10] 1. Group threads into two clusters 2. Prioritize non-intensive cluster 3. Different policies for each cluster Memory-non-intensive thread Non-intensive cluster Throughput thread higher priority Prioritized thread higher priority Threads in the system Memory-intensive Intensive cluster Kim et al. , “Thread Cluster Memory Scheduling, ” MICRO 2010. Fairness 13

Remember: Heterogeneous Retention Times in DRAM Liu et al. , “RAIDR: Retention-Aware Intelligent DRAM

Remember: Heterogeneous Retention Times in DRAM Liu et al. , “RAIDR: Retention-Aware Intelligent DRAM Refresh, ’ ISCA 2012. 14

Trade-Off: Area (Die Size) vs. Latency Long Bitline Short Bitline Faster Smaller Trade-Off: Area

Trade-Off: Area (Die Size) vs. Latency Long Bitline Short Bitline Faster Smaller Trade-Off: Area vs. Latency Lee+, “Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture, ” HPCA 2013. 15

Approximating the Best of Both Worlds Long Bitline Our Proposal Short Bitline Small Area

Approximating the Best of Both Worlds Long Bitline Our Proposal Short Bitline Small Area Large Area High Latency Low Latency Need Isolation Add Isolation Transistors Short Bitline Fast Lee+, “Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture, ” HPCA 2013. 16

Approximating the Best of Both Worlds DRAMShort Long Our Proposal Long Bitline. Tiered-Latency Short

Approximating the Best of Both Worlds DRAMShort Long Our Proposal Long Bitline. Tiered-Latency Short Bitline Large Area Small Area High Latency Low Latency Small area using long bitline Low Latency Lee+, “Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture, ” HPCA 2013. 17

Heterogeneous Interconnect in Tilera n n n 2 D Mesh Five networks Four packet

Heterogeneous Interconnect in Tilera n n n 2 D Mesh Five networks Four packet switched q q q n Dimension order routing, wormhole flow control TDN: Cache request packets MDN: Response packets IDN: I/O packets UDN: Core to core messaging One circuit switched q q STN: Low-latency, highbandwidth static network Streaming data Wentzlaff et al. , “On-Chip Interconnection Architecture of the Tile Processor, ” IEEE Micro 2007. 18

Aside: Examples from Life n Heterogeneity is abundant in life q n n n

Aside: Examples from Life n Heterogeneity is abundant in life q n n n n both in nature and human-made components Humans are heterogeneous Cells are heterogeneous specialized for different tasks Organs are heterogeneous Cars are heterogeneous Buildings are heterogeneous Rooms are heterogeneous … 19

General-Purpose vs. Special. Purpose n Asymmetry is a way of enabling specialization n It

General-Purpose vs. Special. Purpose n Asymmetry is a way of enabling specialization n It bridges the gap between purely general purpose and purely special purpose q q q n Purely general purpose: Single design for every workload or metric Purely special purpose: Single design per workload or metric Asymmetric: Multiple sub-designs optimized for sets of workloads/metrics and glued together The goal of a good asymmetric design is to get the best of both general purpose and special purpose 20

Asymmetry Advantages and Disadvantages n Advantages over Symmetric Design + Can enable optimization of

Asymmetry Advantages and Disadvantages n Advantages over Symmetric Design + Can enable optimization of multiple metrics + Can enable better adaptation to workload behavior + Can provide special-purpose benefits with general-purpose usability/flexibility n Disadvantages over Symmetric Design - Higher overhead and more complexity in design, verification - Higher overhead in management: scheduling onto asymmetric components - Overhead in switching between multiple components can lead to degradation 21

Yet Another Example n Modern processors integrate general purpose cores and GPUs q q

Yet Another Example n Modern processors integrate general purpose cores and GPUs q q CPU-GPU systems Heterogeneity in execution models 22

Three Key Problems in Future Systems n Memory system q q n Efficiency (performance

Three Key Problems in Future Systems n Memory system q q n Efficiency (performance and energy) scalability q q n Applications are increasingly data intensive Data storage and movement limits performance & efficiency Enables scalable systems new applications Enables better user experience new usage models Predictability and robustness q q Resource sharing and unreliable hardware causes Qo. S issues Predictable performance and Qo. S are first class constraints s n g i s e D c i r t Asymme s m e l b o r P e s e h T e v l o S p Can Hel 23

Commercial Asymmetric Design Examples n Integrated CPU-GPU systems (e. g. , Intel Sandy. Bridge)

Commercial Asymmetric Design Examples n Integrated CPU-GPU systems (e. g. , Intel Sandy. Bridge) n CPU + Hardware Accelerators (e. g. , your cell phone) n ARM big. LITTLE processor n IBM Cell processor 24

Increasing Asymmetry in Modern Systems CPU CPU GPU Shared Cache HWA DRAM and Hybrid

Increasing Asymmetry in Modern Systems CPU CPU GPU Shared Cache HWA DRAM and Hybrid Memory Controllers DRAM and Hybrid Memories n n n Heterogeneous agents: CPUs, GPUs, and HWAs Heterogeneous memories: Fast vs. Slow DRAM Heterogeneous interconnects: Control, Data, Synchronization 25

Multi-Core Design: An Asymmetric Perspective 26

Multi-Core Design: An Asymmetric Perspective 26

Many Cores on Chip n n Simpler and lower power than a single large

Many Cores on Chip n n Simpler and lower power than a single large core Large scale parallelism on chip Intel Core i 7 AMD Barcelona 8 cores IBM Cell BE IBM POWER 7 Intel SCC Tilera TILE Gx 8+1 cores 8 cores 4 cores Sun Niagara II 8 cores Nvidia Fermi 448 “cores” 48 cores, networked 100 cores, networked 27

With Many Cores on Chip n What we want: q n N times the

With Many Cores on Chip n What we want: q n N times the performance with N times the cores when we parallelize an application on N cores What we get: q q Amdahl’s Law (serial bottleneck) Bottlenecks in the parallel portion 28

Caveats of Parallelism n Amdahl’s Law q q f: Parallelizable fraction of a program

Caveats of Parallelism n Amdahl’s Law q q f: Parallelizable fraction of a program N: Number of processors 1 Speedup = 1 -f q n n + f N Amdahl, “Validity of the single processor approach to achieving large scale computing capabilities, ” AFIPS 1967. Maximum speedup limited by serial portion: Serial bottleneck Parallel portion is usually not perfectly parallel q q q Synchronization overhead (e. g. , updates to shared data) Load imbalance overhead (imperfect parallelization) Resource sharing overhead (contention among N processors) 29

The Problem: Serialized Code Sections n Many parallel programs cannot be parallelized completely n

The Problem: Serialized Code Sections n Many parallel programs cannot be parallelized completely n Causes of serialized code sections q q n Sequential portions (Amdahl’s “serial part”) Critical sections Barriers Limiter stages in pipelined programs Serialized code sections q q q Reduce performance Limit scalability Waste energy 30

Example from My. SQL Asymmetric Critical Section Access Open Tables Cache 8 7 Speedup

Example from My. SQL Asymmetric Critical Section Access Open Tables Cache 8 7 Speedup Open database tables 6 5 4 3 2 Perform the operations …. Today 1 Parallel 0 0 8 16 24 32 Chip Area (cores) 31

Demands in Different Code Sections n What we want: n In a serialized code

Demands in Different Code Sections n What we want: n In a serialized code section one powerful “large” core n In a parallel code section many wimpy “small” cores n These two conflict with each other: q q If you have a single powerful core, you cannot have many cores A small core is much more energy and area efficient than a large core 32

“Large” vs. “Small” Cores Large Core Out-of-order Wide fetch e. g. 4 -wide Deeper

“Large” vs. “Small” Cores Large Core Out-of-order Wide fetch e. g. 4 -wide Deeper pipeline Aggressive branch predictor (e. g. hybrid) • Multiple functional units • Trace cache • Memory dependence speculation • • Small Core • • In-order Narrow Fetch e. g. 2 -wide Shallow pipeline Simple branch predictor (e. g. Gshare) • Few functional units Large Cores are power inefficient: e. g. , 2 x performance for 4 x area (power) 33

Large vs. Small Cores n Grochowski et al. , “Best of both Latency and

Large vs. Small Cores n Grochowski et al. , “Best of both Latency and Throughput, ” ICCD 2004. 34

Meet Large: IBM POWER 4 n n n Tendler et al. , “POWER 4

Meet Large: IBM POWER 4 n n n Tendler et al. , “POWER 4 system microarchitecture, ” IBM J R&D, 2002. A symmetric multi-core chip… Two powerful cores 35

IBM POWER 4 n n n 2 cores, out-of-order execution 100 -entry instruction window

IBM POWER 4 n n n 2 cores, out-of-order execution 100 -entry instruction window in each core 8 -wide instruction fetch, issue, execute Large, local+global hybrid branch predictor 1. 5 MB, 8 -way L 2 cache Aggressive stream based prefetching 36

IBM POWER 5 n Kalla et al. , “IBM Power 5 Chip: A Dual-Core

IBM POWER 5 n Kalla et al. , “IBM Power 5 Chip: A Dual-Core Multithreaded Processor, ” IEEE Micro 2004. 37

Meet Small: Sun Niagara (Ultra. SPARC T 1) A 32 -Way Multithreaded SPARC n

Meet Small: Sun Niagara (Ultra. SPARC T 1) A 32 -Way Multithreaded SPARC n Kongetira et al. , “Niagara: Processor, ” IEEE Micro 2005. 38

Niagara Core n n n 4 -way fine-grain multithreaded, 6 -stage, dual-issue in-order Round

Niagara Core n n n 4 -way fine-grain multithreaded, 6 -stage, dual-issue in-order Round robin thread selection (unless cache miss) Shared FP unit among cores 39

Remember the Demands n What we want: n In a serialized code section one

Remember the Demands n What we want: n In a serialized code section one powerful “large” core n In a parallel code section many wimpy “small” cores n These two conflict with each other: q q n If you have a single powerful core, you cannot have many cores A small core is much more energy and area efficient than a large core Can we get the best of both worlds? 40

Performance vs. Parallelism Assumptions: 1. Small cores takes an area budget of 1 and

Performance vs. Parallelism Assumptions: 1. Small cores takes an area budget of 1 and has performance of 1 2. Large core takes an area budget of 4 and has performance of 2 41

Tile-Large Approach Large core “Tile-Large” Tile a few large cores n IBM Power 5,

Tile-Large Approach Large core “Tile-Large” Tile a few large cores n IBM Power 5, AMD Barcelona, Intel Core 2 Quad, Intel Nehalem + High performance on single thread, serial code sections (2 units) - Low throughput on parallel program portions (8 units) n 42

Tile-Small Approach Small core Small core Small core Small core “Tile-Small” Tile many small

Tile-Small Approach Small core Small core Small core Small core “Tile-Small” Tile many small cores n Sun Niagara, Intel Larrabee, Tilera TILE (tile ultra-small) + High throughput on the parallel part (16 units) - Low performance on the serial part, single thread (1 unit) n 43

Can we get the best of both worlds? n Tile Large + High performance

Can we get the best of both worlds? n Tile Large + High performance on single thread, serial code sections (2 units) - Low throughput on parallel program portions (8 units) n Tile Small + High throughput on the parallel part (16 units) - Low performance on the serial part, single thread (1 unit), reduced single-thread performance compared to existing single thread processors n Idea: Have both large and small on the same chip Performance asymmetry 44

Asymmetric Multi-Core 45

Asymmetric Multi-Core 45

Asymmetric Chip Multiprocessor (ACMP) Large core “Tile-Large” Small core Small core Small core Small

Asymmetric Chip Multiprocessor (ACMP) Large core “Tile-Large” Small core Small core Small core Small core Small core “Tile-Small” Small core Small core Small core Large core ACMP Provide one large core and many small cores + Accelerate serial part using the large core (2 units) + Execute parallel part on small cores and large core for high throughput (12+2 units) n 46

Accelerating Serial Bottlenecks Single thread Large core Small core Small core Small core ACMP

Accelerating Serial Bottlenecks Single thread Large core Small core Small core Small core ACMP Approach 47

Performance vs. Parallelism Assumptions: 1. Small cores takes an area budget of 1 and

Performance vs. Parallelism Assumptions: 1. Small cores takes an area budget of 1 and has performance of 1 2. Large core takes an area budget of 4 and has performance of 2 48

ACMP Performance vs. Parallelism Area-budget = 16 small cores Large core Small Small core

ACMP Performance vs. Parallelism Area-budget = 16 small cores Large core Small Small core core Large core Small core core Small Small Small Small core core core “Tile-Small” ACMP “Tile-Large” Large Cores 4 0 1 Small Cores 0 16 12 Serial Performance 2 1 2 2 x 4=8 1 x 16 = 16 1 x 2 + 1 x 12 = 14 Parallel Throughput 49 49

Amdahl’s Law Modified n n Simplified Amdahl’s Law for an Asymmetric Multiprocessor Assumptions: q

Amdahl’s Law Modified n n Simplified Amdahl’s Law for an Asymmetric Multiprocessor Assumptions: q q q Serial portion executed on the large core Parallel portion executed on both small cores and large cores f: Parallelizable fraction of a program L: Number of large processors S: Number of small processors X: Speedup of a large processor over a small one Speedup = 1 1 -f X + f S + X*L 50

Caveats of Parallelism, Revisited n Amdahl’s Law q q f: Parallelizable fraction of a

Caveats of Parallelism, Revisited n Amdahl’s Law q q f: Parallelizable fraction of a program N: Number of processors 1 Speedup = 1 -f q n n + f N Amdahl, “Validity of the single processor approach to achieving large scale computing capabilities, ” AFIPS 1967. Maximum speedup limited by serial portion: Serial bottleneck Parallel portion is usually not perfectly parallel q q q Synchronization overhead (e. g. , updates to shared data) Load imbalance overhead (imperfect parallelization) Resource sharing overhead (contention among N processors) 51

Accelerating Parallel Bottlenecks n n Serialized or imbalanced execution in the parallel portion can

Accelerating Parallel Bottlenecks n n Serialized or imbalanced execution in the parallel portion can also benefit from a large core Examples: q q n Critical sections that are contended Parallel stages that take longer than others to execute Idea: Dynamically identify these code portions that cause serialization and execute them on a large core 52

Accelerated Critical Sections M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, and Yale N.

Accelerated Critical Sections M. Aater Suleman, Onur Mutlu, Moinuddin K. Qureshi, and Yale N. Patt, "Accelerating Critical Section Execution with Asymmetric Multi-Core Architectures" Proceedings of the 14 th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2009 53

Contention for Critical Sections Critical Section 12 iterations, 33% instructions inside the critical section

Contention for Critical Sections Critical Section 12 iterations, 33% instructions inside the critical section Parallel Idle P=4 P=3 P=2 33% in critical section P=1 0 1 2 3 4 5 6 7 8 9 10 11 12 54

Contention for Critical Sections Critical Section 12 iterations, 33% instructions inside the critical section

Contention for Critical Sections Critical Section 12 iterations, 33% instructions inside the critical section Parallel Idle P=4 Accelerating critical sections increases performance and scalability P=3 Critical Section Accelerated by 2 x P=2 P=1 0 1 2 3 4 5 6 7 8 9 10 11 12 55

Impact of Critical Sections on n Contention for critical sections leads to serial execution

Impact of Critical Sections on n Contention for critical sections leads to serial execution Scalability 8 7 Speedup n (serialization) of threads in the parallel program portion Contention for critical sections increases with the number of threads and limits scalability Asymmetric 6 5 4 3 2 Today 1 0 0 8 16 24 32 Chip Area (cores) My. SQL (oltp-1) 56

A Case for Asymmetry n n Execution time of sequential kernels, critical sections, and

A Case for Asymmetry n n Execution time of sequential kernels, critical sections, and limiter stages must be short It is difficult for the programmer to shorten these serialized sections q q q n n Insufficient domain-specific knowledge Variation in hardware platforms Limited resources Goal: A mechanism to shorten serial bottlenecks without requiring programmer effort Idea: Accelerate serialized code sections by shipping them to powerful cores in an asymmetric multi-core (ACMP) 57

An Example: Accelerated Critical Sections Idea: HW/SW ships critical sections to a large, powerful

An Example: Accelerated Critical Sections Idea: HW/SW ships critical sections to a large, powerful core in an n asymmetric multi-core architecture n Benefit: q q q n n Reduces serialization due to contended locks Reduces the performance impact of hard-to-parallelize sections Programmer does not need to (heavily) optimize parallel code fewer bugs, improved productivity Suleman et al. , “Accelerating Critical Section Execution with Asymmetric Multi-Core Architectures, ” ASPLOS 2009, IEEE Micro Top Picks 2010. Suleman et al. , “Data Marshaling for Multi-Core Architectures, ” ISCA 2010, IEEE Micro Top Picks 2011. 58

Accelerated Critical Sections Enter. CS() Priority. Q. insert(…) Leave. CS() 1. P 2 encounters

Accelerated Critical Sections Enter. CS() Priority. Q. insert(…) Leave. CS() 1. P 2 encounters a critical section (CSCALL) 2. P 2 sends CSCALL Request to CSRB 3. P 1 executes Critical Section 4. P 1 sends CSDONE signal Core executing critical section P 1 P 2 Critical Section Request Buffer (CSRB) P 3 P 4 Onchip. Interconnect 59

Accelerated Critical Sections (ACS) Small Core A = compute() PUSH A CSCALL X, Target

Accelerated Critical Sections (ACS) Small Core A = compute() PUSH A CSCALL X, Target PC LOCK X result = CS(A) UNLOCK X print result … … … … Large Core CSCALL Request Send X, TPC, STACK_PTR, CORE_ID … Waiting in Critical Section … Request Buffer … (CSRB) TPC: Acquire X POP A result = CS(A) PUSH result Release X CSRET X CSDONE Response POP result print result n Suleman et al. , “Accelerating Critical Section Execution with Asymmetric Multi-Core Architectures, ” ASPLOS 2009. 60

False Serialization n ACS can serialize independent critical sections n Selective Acceleration of Critical

False Serialization n ACS can serialize independent critical sections n Selective Acceleration of Critical Sections (SEL) q Saturating counters to track false serialization To large core A 2 3 4 CSCALL (A) B 5 4 CSCALL (A) Critical Section Request Buffer (CSRB) CSCALL (B) From small cores 61

ACS Performance Tradeoffs n Pluses + Faster critical section execution + Shared locks stay

ACS Performance Tradeoffs n Pluses + Faster critical section execution + Shared locks stay in one place: better lock locality + Shared data stays in large core’s (large) caches: better shared data locality, less ping-ponging n Minuses - Large core dedicated for critical sections: reduced parallel throughput - CSCALL and CSDONE control transfer overhead - Thread-private data needs to be transferred to large core: worse private data locality 62

ACS Performance Tradeoffs n Fewer parallel threads vs. accelerated critical sections q q Accelerating

ACS Performance Tradeoffs n Fewer parallel threads vs. accelerated critical sections q q Accelerating critical sections offsets loss in throughput As the number of cores (threads) on chip increase: n n n Overhead of CSCALL/CSDONE vs. better lock locality q n Fractional loss in parallel performance decreases Increased contention for critical sections makes acceleration more beneficial ACS avoids “ping-ponging” of locks among caches by keeping them at the large core More cache misses for private data vs. fewer misses for shared data 63

Cache Misses for Private Data Priority. Heap. insert(New. Sub. Problems) Private Data: New. Sub.

Cache Misses for Private Data Priority. Heap. insert(New. Sub. Problems) Private Data: New. Sub. Problems Shared Data: The priority heap Puzzle Benchmark 64

ACS Performance Tradeoffs n Fewer parallel threads vs. accelerated critical sections q q Accelerating

ACS Performance Tradeoffs n Fewer parallel threads vs. accelerated critical sections q q Accelerating critical sections offsets loss in throughput As the number of cores (threads) on chip increase: n n n Overhead of CSCALL/CSDONE vs. better lock locality q n Fractional loss in parallel performance decreases Increased contention for critical sections makes acceleration more beneficial ACS avoids “ping-ponging” of locks among caches by keeping them at the large core More cache misses for private data vs. fewer misses for shared data q Cache misses reduce if shared data > private data This problem can be solved See Suleman et al. , “Data Marshaling for Multi-Core Architectures, ” ISCA 2010. 65

ACS Comparison Points Small core Small core Small core Small core Small core Conventional

ACS Comparison Points Small core Small core Small core Small core Small core Conventional locking Small core Small core Small core Large core n n Conventional locking Large core executes Amdahl’s serial part Small core Small core Small core Large core ACMP SCMP n Small core ACS n Large core executes Amdahl’s serial part and critical sections 66

Accelerated Critical Sections: Methodology n Workloads: 12 critical section intensive applications q n Multi-core

Accelerated Critical Sections: Methodology n Workloads: 12 critical section intensive applications q n Multi-core x 86 simulator q q n Data mining kernels, sorting, database, web, networking 1 large and 28 small cores Aggressive stream prefetcher employed at each core Details: q q Large core: 2 GHz, out-of-order, 128 -entry ROB, 4 -wide, 12 -stage Small core: 2 GHz, in-order, 2 -wide, 5 -stage Private 32 KB L 1, private 256 KB L 2, 8 MB shared L 3 On-chip interconnect: Bi-directional ring, 5 -cycle hop latency 67

ACS Performance Chip Area = 32 small cores Equal-area comparison Number of threads =

ACS Performance Chip Area = 32 small cores Equal-area comparison Number of threads = Best threads SCMP = 32 small cores ACMP = 1 large and 28 small cores 269 180 185 Coarse-grain locks Fine-grain locks 68

------ SCMP ------ ACS Equal-Area Comparisons Number of threads = No. of cores Speedup

------ SCMP ------ ACS Equal-Area Comparisons Number of threads = No. of cores Speedup over a small core 3. 5 3 2. 5 2 1. 5 1 0. 5 0 3 5 2. 5 4 2 3 1. 5 2 1 0. 5 1 0 0 0 8 16 24 32 (a) ep (b) is 6 10 5 8 4 2 1 2 0 0 0 8 16 24 32 (c) pagemine (d) puzzle 6 (g) sqlite (h) iplookup 0 8 16 24 32 (e) qsort (f) tsp 12 10 2. 5 10 8 2 8 6 1. 5 6 4 1 4 2 0. 5 2 0 0 0 8 16 24 32 3 2 0 8 16 24 32 14 12 10 8 6 4 2 0 12 4 4 3. 5 3 2. 5 2 1. 5 1 0. 5 0 0 8 16 24 32 8 6 3 7 6 5 4 3 2 1 0 0 8 16 24 32 (i) oltp-1 (i) oltp-2 Chip Area (small cores) 69 0 8 16 24 32 (k) specjbb 0 8 16 24 32 (l) webcache

ACS Summary n n n Critical sections reduce performance and limit scalability Accelerate critical

ACS Summary n n n Critical sections reduce performance and limit scalability Accelerate critical sections by executing them on a powerful core ACS reduces average execution time by: q q n n 34% compared to an equal-area SCMP 23% compared to an equal-area ACMP ACS improves scalability of 7 of the 12 workloads Generalizing the idea: Accelerate all bottlenecks (“critical paths”) by executing them on a powerful core 70

Bottleneck Identification and Scheduling Jose A. Joao, M. Aater Suleman, Onur Mutlu, and Yale

Bottleneck Identification and Scheduling Jose A. Joao, M. Aater Suleman, Onur Mutlu, and Yale N. Patt, "Bottleneck Identification and Scheduling in Multithreaded Applications" Proceedings of the 17 th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), London, UK, March 2012. 71

Bottlenecks in Multithreaded Applications Definition: any code segment for which threads contend (i. e.

Bottlenecks in Multithreaded Applications Definition: any code segment for which threads contend (i. e. wait) Examples: n Amdahl’s serial portions q n Critical sections q n Ensure mutual exclusion likely to be on the critical path if contended Barriers q n Only one thread exists on the critical path Ensure all threads reach a point before continuing the latest thread arriving is on the critical path Pipeline stages q Different stages of a loop iteration may execute on different threads, slowest stage makes other stages wait on the critical path 72

Observation: Limiting Bottlenecks Change Over Time A=full linked list; B=empty linked list repeat 32

Observation: Limiting Bottlenecks Change Over Time A=full linked list; B=empty linked list repeat 32 threads Lock A Traverse list A Remove X from A Unlock A Compute on X Lock B Traverse list B Insert X into B Unlock B until A is empty Lock B is limiter Lock A is limiter 73

Limiting Bottlenecks Do Change on Real Applications My. SQL running Sysbench queries, 16 threads

Limiting Bottlenecks Do Change on Real Applications My. SQL running Sysbench queries, 16 threads 74

Bottleneck Identification and Scheduling (BIS) n n Key insight: q Thread waiting reduces parallelism

Bottleneck Identification and Scheduling (BIS) n n Key insight: q Thread waiting reduces parallelism and is likely to reduce performance q Code causing the most thread waiting likely critical path Key idea: q Dynamically identify bottlenecks that cause the most thread waiting q Accelerate them (using powerful cores in an ACMP) 75

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for bottlenecks Binary containing BIS instructions Hardware 1. Measure thread waiting cycles (TWC) for each bottleneck 2. Accelerate bottleneck(s) with the highest TWC 76

Critical Sections: Code Modifications target. PC: … Bottleneck. Call bid, target. PC while cannot

Critical Sections: Code Modifications target. PC: … Bottleneck. Call bid, target. PC while cannot acquire lock … Wait loop for watch_addr while cannot acquire lock Bottleneck. Wait bid, watch_addr Wait loop for watch_addr … acquire release lock Used to enable Used to keep track of … acceleration waiting cycles release lock Bottleneck. Return bid 77

Barriers: Code Modifications target. PC: … Bottleneck. Call bid, target. PC enter barrier while

Barriers: Code Modifications target. PC: … Bottleneck. Call bid, target. PC enter barrier while not all threads in barrier Bottleneck. Wait bid, watch_addr exit barrier … code running for the barrier … Bottleneck. Return bid 78

Pipeline Stages: Code Modifications target. PC: Bottleneck. Call bid, target. PC … while not

Pipeline Stages: Code Modifications target. PC: Bottleneck. Call bid, target. PC … while not done while empty queue Bottleneck. Wait prev_bid dequeue work do the work … while full queue Bottleneck. Wait next_bid enqueue next work Bottleneck. Return bid 79

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for bottlenecks Binary containing BIS instructions Hardware 1. Measure thread waiting cycles (TWC) for each bottleneck 2. Accelerate bottleneck(s) with the highest TWC 80

BIS: Hardware Overview n n Performance-limiting bottleneck identification and acceleration are independent tasks Acceleration

BIS: Hardware Overview n n Performance-limiting bottleneck identification and acceleration are independent tasks Acceleration can be accomplished in multiple ways q q q Increasing core frequency/voltage Prioritization in shared resources [Ebrahimi+, MICRO’ 11] Migration to faster cores in an Asymmetric CMP Small core Large core Small Small core core 81

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for bottlenecks Binary containing BIS instructions Hardware 1. Measure thread waiting cycles (TWC) for each bottleneck 2. Accelerate bottleneck(s) with the highest TWC 82

Determining Thread Waiting Cycles for Each Bottleneck Small Core 1 Large Core 0 Bottleneck.

Determining Thread Waiting Cycles for Each Bottleneck Small Core 1 Large Core 0 Bottleneck. Wait x 4500 waiters=0, 11 0 1 7 10 waiters=2, twc = 5 9 bid=x 4500, waiters=1, 3 4 2 Small Core 2 Bottleneck Table (BT) Bottleneck. Wait x 4500 … 83

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for

Bottleneck Identification and Scheduling (BIS) Compiler/Library/Programmer 1. Annotate bottleneck code 2. Implement waiting for bottlenecks Binary containing BIS instructions Hardware 1. Measure thread waiting cycles (TWC) for each bottleneck 2. Accelerate bottleneck(s) with the highest TWC 84

Bottleneck Acceleration Small Core 1 Large Core 0 x 4700 Bottleneck. Call bid=x 4700,

Bottleneck Acceleration Small Core 1 Large Core 0 x 4700 Bottleneck. Call bid=x 4700, x 4600 pc, sp, core 1 Bottleneck. Return x 4700 Execute locally remotely Acceleration Index Table (AIT) bid=x 4700, pc, sp, core 1 bid=x 4700 , large core 0 Executeremotely locally Small Core 2 Scheduling Buffer (SB) bid=x 4600, twc=100 twc < Threshold bid=x 4700, twc=10000 twc > Threshold Bottleneck Table (BT) AIT bid=x 4700 , large core 0 … 85

BIS Mechanisms n Basic mechanisms for BIS: q q n Determining Thread Waiting Cycles

BIS Mechanisms n Basic mechanisms for BIS: q q n Determining Thread Waiting Cycles Accelerating Bottlenecks Mechanisms to improve performance and generality of BIS: q q q Dealing with false serialization Preemptive acceleration Support for multiple large cores 86

Hardware Cost n Main structures: q q q Bottleneck Table (BT): global 32 -entry

Hardware Cost n Main structures: q q q Bottleneck Table (BT): global 32 -entry associative cache, minimum-Thread-Waiting-Cycle replacement Scheduling Buffers (SB): one table per large core, as many entries as small cores Acceleration Index Tables (AIT): one 32 -entry table per small core n Off the critical path n Total storage cost for 56 -small-cores, 2 -large-cores < 19 KB 87

BIS Performance Trade-offs n Faster bottleneck execution vs. fewer parallel threads q n Better

BIS Performance Trade-offs n Faster bottleneck execution vs. fewer parallel threads q n Better shared data locality vs. worse private data locality q q n Acceleration offsets loss of parallel throughput with large core counts Shared data stays on large core (good) Private data migrates to large core (bad, but latency hidden with Data Marshaling [Suleman+, ISCA’ 10]) Benefit of acceleration vs. migration latency q q Migration latency usually hidden by waiting (good) Unless bottleneck not contended (bad, but likely not on critical path) 88

Evaluation Methodology n Workloads: 8 critical section intensive, 2 barrier intensive and 2 pipeline-parallel

Evaluation Methodology n Workloads: 8 critical section intensive, 2 barrier intensive and 2 pipeline-parallel applications q n Cycle-level multi-core x 86 simulator q q n Data mining kernels, scientific, database, web, networking, specjbb 8 to 64 small-core-equivalent area, 0 to 3 large cores, SMT 1 large core is area-equivalent to 4 small cores Details: q q Large core: 4 GHz, out-of-order, 128 -entry ROB, 4 -wide, 12 -stage Small core: 4 GHz, in-order, 2 -wide, 5 -stage Private 32 KB L 1, private 256 KB L 2, shared 8 MB L 3 On-chip interconnect: Bi-directional ring, 2 -cycle hop latency 89

BIS Comparison Points (Area. Equivalent) SCMP (Symmetric CMP) n q n n n All

BIS Comparison Points (Area. Equivalent) SCMP (Symmetric CMP) n q n n n All small cores ACMP (Asymmetric CMP) q Accelerates only Amdahl’s serial portions q Our baseline ACS (Accelerated Critical Sections) q Accelerates only critical sections and Amdahl’s serial portions q Applicable to multithreaded workloads (iplookup, mysql, specjbb, sqlite, tsp, webcache, mg, ft) FDP (Feedback-Directed Pipelining) q Accelerates only slowest pipeline stages q Applicable to pipeline-parallel workloads (rank, pagemine) 90

BIS Performance Improvement Optimal number of threads, 28 small cores, 1 large core n

BIS Performance Improvement Optimal number of threads, 28 small cores, 1 large core n n which ACS limiting bottlenecks change over barriers, time BIS outperforms ACS/FDP and ACMP by 32% FDP ACSby 15% cannot accelerate BIS improves scalability on 4 of the benchmarks 91

Why Does BIS Work? Fraction of execution time spent on predicted-important bottlenecks Actually critical

Why Does BIS Work? Fraction of execution time spent on predicted-important bottlenecks Actually critical n n Coverage: fraction of program critical path that is actually identified as bottlenecks q 39% (ACS/FDP) to 59% (BIS) Accuracy: identified bottlenecks on the critical path over total identified bottlenecks q 72% (ACS/FDP) to 73. 5% (BIS) 92

BIS Scaling Results Performance increases with: 15% 2. 4% 6. 2% 19% 1) More

BIS Scaling Results Performance increases with: 15% 2. 4% 6. 2% 19% 1) More small cores n Contention due to bottlenecks increases n Loss of parallel throughput due to large core reduces 2) More large cores n Can accelerate independent bottlenecks n Without reducing parallel throughput (enough cores) 93

BIS Summary n n Serializing bottlenecks of different types limit performance of multithreaded applications:

BIS Summary n n Serializing bottlenecks of different types limit performance of multithreaded applications: Importance changes over time BIS is a hardware/software cooperative solution: q q n BIS improves application performance and scalability: q n Dynamically identifies bottlenecks that cause the most thread waiting and accelerates them on large cores of an ACMP Applicable to critical sections, barriers, pipeline stages Performance benefits increase with more cores Provides comprehensive fine-grained bottleneck acceleration with no programmer effort 94

If Time Permits … 95

If Time Permits … 95

A Case for Asymmetry Everywhere Onur Mutlu, "Asymmetry Everywhere (with Automatic Resource Management)" CRA

A Case for Asymmetry Everywhere Onur Mutlu, "Asymmetry Everywhere (with Automatic Resource Management)" CRA Workshop on Advancing Computer Architecture Research: Popular Parallel Programming, San Diego, CA, February 2010. Position paper 96

Asymmetry Enables Customization C C C 2 C 1 C C C C 4

Asymmetry Enables Customization C C C 2 C 1 C C C C 4 C 4 C C C 5 C 5 C 5 Symmetric n Asymmetric Symmetric: One size fits all q n C 3 C Energy and performance suboptimal for different phase behaviors Asymmetric: Enables tradeoffs and customization q q Processing requirements vary across applications and phases Execute code on best-fit resources (minimal energy, adequate perf. ) 97

Thought Experiment: Asymmetry Everywhere n Design each hardware resource with asymmetric, (re- )configurable, partitionable

Thought Experiment: Asymmetry Everywhere n Design each hardware resource with asymmetric, (re- )configurable, partitionable components q Different power/performance/reliability characteristics q To fit different computation/access/communication patterns 98

Thought Experiment: Asymmetry Everywhere n Design the runtime system (HW & SW) to automatically

Thought Experiment: Asymmetry Everywhere n Design the runtime system (HW & SW) to automatically choose the best-fit components for each phase q Satisfy performance/SLA with minimal energy q Dynamically stitch together the “best-fit” chip for each phase Phase 1 Phase 2 Phase 3 99

Thought Experiment: Asymmetry Everywhere n Morph software components to match asymmetric HW components q

Thought Experiment: Asymmetry Everywhere n Morph software components to match asymmetric HW components q Multiple versions for different resource characteristics Version 1 Version 2 Version 3 100

Many Research and Design n. Questions How to design asymmetric components? q q n

Many Research and Design n. Questions How to design asymmetric components? q q n What monitoring to perform cooperatively in HW/SW? q n n Fixed, partitionable, reconfigurable components? What types of asymmetry? Access patterns, technologies? Automatically discover phase/task requirements How to design feedback/control loop between components and runtime system software? How to design the runtime to automatically manage resources? q Track task behavior, pick “best-fit” components for the entire workload 101

Exploiting Asymmetry: Simple Examples Serial n Parallel Execute critical/serial sections on high-power, high-performance cores/resources

Exploiting Asymmetry: Simple Examples Serial n Parallel Execute critical/serial sections on high-power, high-performance cores/resources [Suleman+ ASPLOS’ 09, ISCA’ 10, Top Picks’ 10’ 11, Joao+ ASPLOS’ 12, ISCA’ 13] n Programmer can write less optimized, but more likely correct programs 102

Exploiting Asymmetry: Simple Examples VLIW Backend Oo. O Backend n Execute each code block

Exploiting Asymmetry: Simple Examples VLIW Backend Oo. O Backend n Execute each code block on the most efficient execution backend for that block [Fallin+ ICCD’ 14] n Enables a much more efficient and still high performance core design 103

Exploiting Asymmetry: Simple Examples Streaming n R a n d o m a Execute

Exploiting Asymmetry: Simple Examples Streaming n R a n d o m a Execute streaming “memory phases” on streaming-optimized cores and memory hierarchies c n c More efficient and higher performance than general purpose hierarchy e s s 104

Exploiting Asymmetry: Simple Examples B a n Latency optimized No. C d w i

Exploiting Asymmetry: Simple Examples B a n Latency optimized No. C d w i d n Execute bandwidth-sensitive threads on a bandwidth-optimized t h a latency-optimized network, latency-sensitive ones on [Das+ DAC’ 13] o n Higher performance and energy-efficiency than a single network p t 105 i

Exploiting Asymmetry: Simple Examples B a n Latency sensitive d w i d t

Exploiting Asymmetry: Simple Examples B a n Latency sensitive d w i d t n Partition memory controller and on-chip network bandwidth h HPCA 2010, MICRO 2010, Top Picks asymmetrically among threads [Kim+ 2011] [Nychis+ Hot. Nets 2010] [Das+ MICRO 2009, ISCA 2010, Top Picks 2011] n s e n s Higher performance and energy-efficiency than symmetric/free-for-all 106

Exploiting Asymmetry: Simple Examples Compute intensive n Memory intensive Have multiple different memory scheduling

Exploiting Asymmetry: Simple Examples Compute intensive n Memory intensive Have multiple different memory scheduling policies apply them to different sets of threads based on thread behavior [Kim+ MICRO 2010, Top Picks 2011] [Ausavarungnirun+ ISCA 2012] n Higher performance and fairness than a homogeneous policy 107

Exploiting Asymmetry: Simple Examples CPU DRAM Fast, durable DRAM Small, leaky, volatile, high-cost n

Exploiting Asymmetry: Simple Examples CPU DRAM Fast, durable DRAM Small, leaky, volatile, high-cost n DRAM Ctrl PCM Ctrl Phase Change Memory (or Tech. X) Phase Change Memory Large, non-volatile, low-cost Slow, wears out, high active energy Build main memory with different technologies with different characteristics (e. g. , latency, bandwidth, cost, energy, reliability) [Meza+ IEEE CAL’ 12, Yoon+ ICCD’ 12, Luo+ DSN’ 14] n Higher performance and energy-efficiency than homogeneous memory 108

Exploiting Asymmetry: Simple Examples Reliable DRAM n Less Reliable DRAM Build main memory with

Exploiting Asymmetry: Simple Examples Reliable DRAM n Less Reliable DRAM Build main memory with different technologies with different characteristics (e. g. , latency, bandwidth, cost, energy, reliability) [Meza+ IEEE CAL’ 12, Yoon+ ICCD’ 12, Luo+ DSN’ 14] n Lower-cost than homogeneous-reliability memory at same availability 109

Exploiting Asymmetry: Simple Examples Heterogeneous-Latency DRAM Heterogeneous-Refresh-Rate DRAM n Design each memory chip to

Exploiting Asymmetry: Simple Examples Heterogeneous-Latency DRAM Heterogeneous-Refresh-Rate DRAM n Design each memory chip to be heterogeneous to achieve low latency and low energy at reasonably low cost [Lee+ HPCA’ 13, Liu+ ISCA’ 12] n Higher performance and energy-efficiency than single-level memory 110

18 -740/640 Computer Architecture Lecture 17: Heterogeneous Systems Prof. Onur Mutlu Carnegie Mellon University

18 -740/640 Computer Architecture Lecture 17: Heterogeneous Systems Prof. Onur Mutlu Carnegie Mellon University Fall 2015, 11/9/2015