10 Pattern Transfer Additive techniquesPhysical Vapor Deposition Chemical

  • Slides: 30
Download presentation
10. Pattern Transfer: Additive techniques-Physical Vapor Deposition, Chemical Vapor Deposition and Electrochemical Deposition Dr.

10. Pattern Transfer: Additive techniques-Physical Vapor Deposition, Chemical Vapor Deposition and Electrochemical Deposition Dr. Marc Madou, Fall 2013 Prof. Marc Madou MSTB 120

Content Physical vapor deposition (PVD) – Thermal evaporation – Sputtering – Evaporation and sputtering

Content Physical vapor deposition (PVD) – Thermal evaporation – Sputtering – Evaporation and sputtering compared – MBE – Laser sputtering – Ion Plating – Cluster-Beam deposition Chemical vapor deposition (CVD) – Reaction mechanisms – Step coverage – CVD overview Epitaxy Electrochemical Deposition

Physical vapor deposition (PVD) The physical vapor deposition technique is based on the formation

Physical vapor deposition (PVD) The physical vapor deposition technique is based on the formation of vapor of the material to be deposited as a thin film. The material in solid form is either heated until evaporation (thermal evaporation) or sputtered by ions (sputtering). In the last case, ions are generated by a plasma discharge usually within an inert gas (argon). It is also possible to bombard the sample with an ion beam from an external ion source.

Physical vapor deposition (PVD): thermal evaporation The number of molecules leaving a unit area

Physical vapor deposition (PVD): thermal evaporation The number of molecules leaving a unit area of evaporant per second 6

Physical vapor deposition (PVD): thermal evaporation This is the relation between vapor pressure of

Physical vapor deposition (PVD): thermal evaporation This is the relation between vapor pressure of the evaporant and the evaporation rate. If a high vacuum is established, most molecules/atoms will reach the substrate without intervening collisions. Atoms and molecules flow through the orifice in a single straight track, or we have free molecular flow : The fraction of particles scattered by collisions with atoms of residual gas is proportional to: The source-to-wafer distance must be smaler than the mean free path (e. g, 25 to 70 cm) The cosine law

Physical vapor deposition (PVD): thermal evaporation From kinetic theory the mean free path relates

Physical vapor deposition (PVD): thermal evaporation From kinetic theory the mean free path relates to the total pressure as: Since thickness of the deposited film, t, is proportional to the cos b, the ratio of the film thickness shown in the figure on the right with = 0° is given as:

 Physical vapor deposition (PVD): sputtering Momentum transfer -V working voltage - i discharge

Physical vapor deposition (PVD): sputtering Momentum transfer -V working voltage - i discharge current - d, anode-cathode distance - PT, gas pressure - k proportionality constant

Evaporation and sputtering: comparison

Evaporation and sputtering: comparison

Physical vapor deposition (PVD): MBE, Laser Ablation - MBE – Epitaxy: homo-epitaxy hetero-epitaxy –

Physical vapor deposition (PVD): MBE, Laser Ablation - MBE – Epitaxy: homo-epitaxy hetero-epitaxy – Very slow: 1µm/hr – Very low pressure: 10 -11 Torr Laser sputter deposition – Complex compounds (e. g. HTSC, biocompatible ceramics)

Physical vapor deposition (PVD): Ion cluster plating Ionized cluster: it is possible to ionize

Physical vapor deposition (PVD): Ion cluster plating Ionized cluster: it is possible to ionize atom clusters that are being evaporated leading to a higher energy and a film with better properties (adherence, density, etc. ). – From 100 mbar (heater cell) to 10 -5 to 10 -7 mbar (vacuum)-sudden cooling – Deposits nanoparticles Combines evaporation with a plasma » faster than sputtering » complex compositions » good adhesion

Physical vapor deposition (PVD): Ion cluster plating and ion plating Gas cluster ions consist

Physical vapor deposition (PVD): Ion cluster plating and ion plating Gas cluster ions consist of many atoms or molecules weakly bound to each other and sharing a common electrical charge. As in the case of monomer ions, beams of cluster ions can propagate under vacuum and the energies of the ions can be controlled using acceleration voltages. A cluster ion has much larger mass and momentum with lower energy per atom than a monomer ion carrying the same total energy. Upon impact on solid surfaces, cluster ions depart all their energy to an extremely shallow region of the surface. Cluster plating material is forced sideways and produces highly smooth surfaces. Also individual atoms can be ionized and lead to ion plating (see figure on the right, example coating : very hard Ti. N)

Chemical vapor deposition (CVD): reaction mechanisms Mass transport of the reactant in the bulk

Chemical vapor deposition (CVD): reaction mechanisms Mass transport of the reactant in the bulk Gas-phase reactions (homogeneous) Mass transport to the surface Adsorption on the surface Surface reactions (heterogeneous) Surface migration Incorporation of film constituents, island formation Desorption of by-products Mass transport of by-produccts in bulk CVD: Diffusive-convective transport of depositing species to a substrate with many intermolecular collisionsdriven by a concentration gradient Si. H 4 4 Si

Chemical vapor deposition (CVD): reaction mechanisms Energy sources for deposition: – Thermal – Plasma

Chemical vapor deposition (CVD): reaction mechanisms Energy sources for deposition: – Thermal – Plasma – Laser – Photons Deposition rate or film growth rate Laminar flow (U) d(x) (Fick’s first law) L (Boundary layer thickness) (gas viscosity h, gas density r, gas stream velocity U) (Dimensionless Reynolds number) (by substitution in Fick’s first law and Dx=d) dx

Chemical vapor deposition (CVD) : reaction mechanisms Mass flow controlled regime (square root of

Chemical vapor deposition (CVD) : reaction mechanisms Mass flow controlled regime (square root of gas velocity)(e. g. AP CVD~ 100 -10 k. Pa) : FASTER Thermally activated regime: rate limiting step is surface reaction (e. g. LP CVD ~ 100 Pa ----D is very large) : SLOWER

Chemical vapor deposition (CVD): step coverage Step coverage, two factors are important – Mean

Chemical vapor deposition (CVD): step coverage Step coverage, two factors are important – Mean free path and surface migration i. e. P and T – Mean free path: l = q=1800 z is angle of arrival q=900 a w q=2700

Chemical vapor deposition (CVD) : overview CVD (thermal) – APCVD (atmospheric) – LPCVD (<10

Chemical vapor deposition (CVD) : overview CVD (thermal) – APCVD (atmospheric) – LPCVD (<10 Pa) – VLPCVD (<1. 3 Pa) PE CVD (plasma enhanced) Photon-assisted CVD Laser-assisted CVD MOCVD

Chemical vapor deposition (CVD) : L-CVD The L-CVD method is able to fabricate continuous

Chemical vapor deposition (CVD) : L-CVD The L-CVD method is able to fabricate continuous thin rods and fibres by pulling the substrate away from the stationary laser focus at the linear growth speed of the material while keeping the laser focus on the rod tip, as shown in the Figure. LCVD was first demonstrated for carbon and silicon rods. However, fibers were grown from other substrates including silicon, carbon, boron, oxides, nitrides, carbides, borides, and metals such as aluminium. The L-CVD process can operate at low and high chamber pressures. The growth rate is normally less than 100 µm/s at low chamber pressure (<<1 bar). At high chamber pressure (>1 bar), high growth rate (>1. 1 mm/s) has been achieved for small-diameter (< 20 µm) amorphous boron fibers.

Epitaxy VPE: – MBE (PVD) (see above) – MOCVD (CVD) i. e. organo-metallic CVD(e.

Epitaxy VPE: – MBE (PVD) (see above) – MOCVD (CVD) i. e. organo-metallic CVD(e. g. trimethyl aluminum to deposit Al) (see above) Liquid phase epitaxy Solid epitaxy: recrystallization of amorphous material (e. g. poly-Si) Liquid phase epitaxy

Epitaxy Selective epitaxy Epi-layer thickness: – IR – Capacitance, Voltage – Profilometry – Tapered

Epitaxy Selective epitaxy Epi-layer thickness: – IR – Capacitance, Voltage – Profilometry – Tapered groove – Angle-lap and stain – Weighing Selective epitaxy

Electrochemical deposition: electroless Electroless metal displacement Electroless sustainable oxidation of a reductant – Metal

Electrochemical deposition: electroless Electroless metal displacement Electroless sustainable oxidation of a reductant – Metal salt (e. g. Ni. Cl 2) – Reductant (e. g. hypophosphite) – Stabilizer: bath is thermodynamically unstable needs catalytic poison (e. g. thiourea) – Complexing agent : prevent too much free metal – Buffer: keep the p. H range narrow – Accelerators: increase deposition rate without causing bath instability (e. g. pyridine) Deposition on insulators (e. g. plastics): seed surface with Sn. Cl 2/HCl 1. Zn(s) + Cu 2+(aq) ------> Zn 2+(aq) + Cu(s) Cu 2. Reduction (cathode reaction) : Ni+2 + 2 e- —> Ni Oxidation (anode reaction): H 2 PO 2 - + H 2 O—> H 2 PO 3 - +2 H+ +2 e- --------------------Ni+2 + H 2 PO 2 - + H 2 O —> Ni + H 2 PO 3 - + 2 H+ e. g. electroless Cu: 40 µmhr-1

Electrochemical deposition: electroless Evan’s diagram: electroless deposition is the combined result of two independent

Electrochemical deposition: electroless Evan’s diagram: electroless deposition is the combined result of two independent electrode reactions (anodic and cathodic partial reactions) Mixed potential (EM): reactions belong to different systems ideposition = ia = ic and I=A x i deposition Total amount deposited: m max= I t M/Fz (t is deposition time, Molecular weight, F is the Faraday constant, z is the charge on the ion) CMOS compatible: no leads required + Evan’s diagram - F= 96, 500 coulombs=1, 6 10 -19 (electron charge) x 6. 02 10 23 (Avogadro’s number)

Electrochemical deposition : electrodepositionthermodynamics Electrolytic cell – Au cathode (inert surface for Ni deposition)

Electrochemical deposition : electrodepositionthermodynamics Electrolytic cell – Au cathode (inert surface for Ni deposition) – Graphite anode (not attacked by Cl 2) Two electrode cells (anode, cathode, working and reference or counter electrode) e. g. for potentiometric measurements (voltage measurements) Three electrode cells (working, reference and counter electrode) e. g. for amperometric measurements (current measurements)

Electrochemical deposition : electrodeposition-thermodynamics (E) 1. Free energy change for ion in the solution

Electrochemical deposition : electrodeposition-thermodynamics (E) 1. Free energy change for ion in the solution to atom in the metal (cathodic reaction): or also (1) 2. The electrical work, w, performed in electrodeposition at constant pressure and constant temperature: (2) 3. Substituting Equation (2) in (1) one gets (Nernst equation) 4. Repeat (1) and (2) for anodic reaction: or E 2 > E 1 : - battery E 2 < E 1 : + E ext > E cell to afford deposition and since DV =0

Electrochemical deposition : electrodeposition-thermodynamics (h) A thermodynamic possible reaction may not occur if the

Electrochemical deposition : electrodeposition-thermodynamics (h) A thermodynamic possible reaction may not occur if the kinetics are not favorable Kinetics express themselves through all types of overpotentials E -E o = h ( + anodic and - is cathodic)

Electrochemical deposition : electrodepositionkinetics-activation control (without field) (with field) Understanding of polarization curves: consider

Electrochemical deposition : electrodepositionkinetics-activation control (without field) (with field) Understanding of polarization curves: consider a positive ion transported from solution to the electrode Successful ion jump frequency is given by the Boltzmann distribution theory (h is Planck constant):

Electrochemical deposition : electrodepositionkinetics-activation control At equilibrium the exchange current density is given by:

Electrochemical deposition : electrodepositionkinetics-activation control At equilibrium the exchange current density is given by: The reaction polarization is then given by: The measurable current density is then given by: For large enough overpotential: (Butler-Volmer) (Tafel law)

Electrochemical deposition : electrodepositionkinetics-diffusion control From activation control to diffusion control: Concentration difference leads

Electrochemical deposition : electrodepositionkinetics-diffusion control From activation control to diffusion control: Concentration difference leads to another overpotential i. e. concentration polarization: Using Faraday’s law we may write also: At a certain potential C x=0=0 and then: we get :

Electrochemical deposition : electrodepositionnon-linear diffusion effects Nonlinear diffusion and the advantages of using microelectrodes:

Electrochemical deposition : electrodepositionnon-linear diffusion effects Nonlinear diffusion and the advantages of using microelectrodes: An electrode with a size comparable to the thickness of the diffusion layer The Cottrell equation is the current-vs. -time on an electrode after a potential step: For micro-electrodes it needs correction :

Electrochemical deposition : electrodepositionnon-linear diffusion effects The diffusion limited currents for some different electrode

Electrochemical deposition : electrodepositionnon-linear diffusion effects The diffusion limited currents for some different electrode shapes are given as (at longer times after bias application and for small electrodes): If the electrodes are recessed another correction term must be introduced:

Homework Homework: demonstrate equality of l = (p. RT/2 M)1/2 h/PT and l =

Homework Homework: demonstrate equality of l = (p. RT/2 M)1/2 h/PT and l = k. T/2 1/2 a 2 p PT (where a is the molecular diameter) What is the mean free path (MFP)? How can you increase the MFP in a vacuum chamber? For metal deposition in an evaporation system, compare the distance between target and evaporation source with working MFP. Which one has the smaller dimension? 1 atmosphere pressure = ____ mm Hg =___ torr. What are the physical dimensions of impingement rate? Why is sputter deposition so much slower than evaporation deposition? Make a detailed comparison of the two deposition methods. Develop the principal equation for the material flux to a substrate in a CVD process, and indicate how one moves from a mass transport limited to reaction-rate limited regime. Explain why in one case wafers can be stacked close and vertically while in the other a horizontal stacking is preferred. Describe step coverage with CVD processes. Explain how gas pressure and surface temperature may influence these different profiles.